AXI 10-Gigabit Ethernet Subsystem v2.0 製品ガイド (PG157)

AXI 10 Gigabit Ethernet
Subsystem v2.0
製品ガ イ ド
Vivado Design Suite
PG157 2014 年 10 月 1 日
本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま
す。資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の
上、最新情報につきましては、必ず最新英語版をご参照ください。
目次
第 1 章 : 概要
機能一覧 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
アプ リ ケーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
サポー ト さ れていない機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ラ イ セ ン スお よ び注文情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
8
9
9
9
第 2 章 : 製品仕様
規格 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
パフ ォーマ ン ス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
リ ソ ース使用率 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ポー ト の説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
レ ジ ス タ 空間 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
11
12
12
14
43
第 3 章 : コ ア を使用するデザイ ン
ク ロ ッ キ ン グ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79
リ セ ッ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79
共有 ロ ジ ッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79
イ ーサネ ッ ト プ ロ ト コ ルの説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84
デー タ イ ン タ ーフ ェ イ ス の接続 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88
IEEE 1588 タ イ ム ス タ ンプ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101
管理 イ ン タ ーフ ェ イ ス の接続 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107
IEEE 802.3 フ ロ ー制御. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 110
優先フ ロ ー制御 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115
レ シーバー終端 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122
特別なデザ イ ンに関す る 注意事項 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122
第 4 章 : デザイ ン フ ロー手順
コ アのカ ス タ マ イ ズお よ び生成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
コ アへの制約 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
シ ミ ュ レーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
合成お よ び イ ンプ リ メ ン テーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
125
129
131
131
第 5 章 : サン プル デザイ ンの詳細
共有 ロ ジ ッ ク お よ び コ ア サポー ト レ イ ヤー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 137
第 6 章 : テ ス ト ベン チ
付録 A : 移行およびア ッ プグ レー ド
Vivado Design Suite への移行 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141
Vivado Design Suite でのア ッ プグ レー ド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141
付録 B : デバ ッ グ
ザ イ リ ン ク ス ウ ェ ブサ イ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 143
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
2
デバ ッ グ ツール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 144
ハー ド ウ ェ ア デバ ッ グ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 145
付録 C : その他の リ ソ ースおよび法的通知
ザ イ リ ン ク ス リ ソ ース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
参考資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
改訂履歴 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
法的通知 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
151
151
151
152
3
IP の概要
は じ めに
LogiCORE IP
コ アの内容
LogiCORE™ IP 10 Gigabit Ethernet MAC コ アは、 シ ン グル ス
ピ ー ド 、 全 二 重 の 10Gb/s Ethernet MAC (Media Access
Controller) ソ リ ュ ーシ ョ ンで、 高速 イ ーサネ ッ ト シ ス テ ムお
よ びサブシ ス テ ムのデザ イ ン を可能に し ます。
機能
サポー ト さ れる
ユーザー イ ン タ ー
フェイス
AXI4、 AXI4-Lite、 AXI4-Stream
「 リ ソ ース使用率」 参照
コ アに含まれる も の
32 ビ ッ ト の低レ イ テ ン シ ま たは
Ethernet MAC (オプシ ョ ン)
•
PHY 層へは外部 XGMII ま たは内部 FPGA イ ン タ ーフ ェ
イ ス を選択
•
UltraScale™ アーキ テ ク チ ャ 、 7 シ リ ーズ、
Zynq®-7000 All Programmable SoC
リ ソ ース
•
•
サポー ト さ れ る デ
バ イ ス フ ァ ミ リ (1)
64
ビッ トの
10G
ク ラ イ ア ン ト 送信お よ び受信 イ ン タ ー フ ェ イ ス で
AXI4-Stream プ ロ ト コ ルをサポー ト
最大デー タ スループ ッ ト の DIC (Deficit Idle Count) をサ
ポー ト 。 すべての コ ンデ ィ シ ョ ン で最小 IFG を維持 し 、
ラ イ ン レー ト パフ ォーマ ン ス を提供
デザ イ ン フ ァ イ ル
暗号化 さ れた RTL
サンプル デザ イ ン
Verilog お よ び VHDL
テ ス ト ベンチ
Verilog お よ び VHDL
制約フ ァ イ ル
ザ イ リ ン ク ス デザ イ ン制約フ ァ イ ル (.xdc)
シ ミ ュ レ ーシ ョ ン
モデル
Verilog ま たは VHDL ソ ース HDL モデル
サポー ト あ り
ソフ ト ウェア ド ラ
イ バー
なし
•
イ ンバン ド FCS の有無にかかわ ら ず、すべてのデバ イ ス
で DIC をサポー ト
•
総合的な統計情報の収集
デザ イ ン入力
•
両方向で、 802.3 お よ び 802.1Qbb (優先度ベース) フ ロ ー
制御のサポー ト
シ ミ ュ レーシ ョ ン
•
PHY 層の管理用に MDIO STA マ ス タ ー イ ン タ ーフ ェ イ
ス を提供
•
VLAN,、 ジ ャ ン ボ フ レーム、 お よ び WAN モー ド をサ
ポー ト
•
カ ス タ ム プ リ ア ンブル モー ド
•
TX と RX と それぞれに独立 し た最大送信単位 (MTU) フ
レーム長
•
非常にカ ス タ マ イ ズ し やす く 、 リ ソ ース使用率 と 機能性
の ト レー ド オ フ が可能
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
テ ス ト 済みデザイ ン フ ロー (2)
Vivado® Design Suite
サポー ト さ れ る シ ミ ュ レ ー タ につい ては、
『Vivado Design Suite ユーザー ガ イ ド : リ
リ ー ス ノ ー ト ガ イ ド 、 イ ン ス ト ールお よ び
ラ イ セ ン ス 』 を 参照 し て く だ さ い。
Vivado 合成
合成
サポー ト
japan.xilinx.com/support で提供
注記 :
1. サポー ト さ れてい る デバ イ ス の一覧は、Vivado IP カ タ ロ グ を参照
し て く だ さ い。
2. サポー ト さ れてい る ツールのバージ ョ ンは、
『Vivado Design Suite ユーザー ガ イ ド : リ リ ース ノ ー ト ガ イ ド 、イ
ン ス ト ールお よ び ラ イ セ ン ス』 を参照 し て く だ さ い。
japan.xilinx.com
4
Production 製品仕様
第 1章
概要
AXI 10 Gigabit Ethernet コ ア は 10Gb/s の イ ー サ ネ ッ ト MAC、 PCS (Physical Coding Sublayer)、 お よ び PMA (Physical
Medium Attachment) の 送 受 信 を AXI4-Stream イ ン タ ー フ ェ イ ス で 実行す る 機能 を 提供 し ま す。 こ の コ ア は、
10GBASE-R PHY ま たは 10GBASE-KR バ ッ ク プ レ ーン と イ ン タ ー フ ェ イ ス す る た めに設計 さ れてお り 、 ま た IEEE
802.3-2012 仕様 [参照 1] に合わせて設計 さ れてい ます。
10GBASE-KR コ アは、 バ ッ ク プ レーン で 10Gb/s のデー タ ス ト リ ーム を サポー ト す る ため、 リ ン ク ト レーニ ン グ ブ
ロ ッ ク 、 そ し てオプシ ョ ンのオー ト ネ ゴ シエーシ ョ ン (AN) お よ び前方誤 り 訂正 (FEC) 機能が追加 さ れてい る 点にお
いて、 10GBASE-R コ ア と は異な っ てい ます。
こ の コ ア も 、 IEEE 1588-2008 (IEEE 1588v2 と も い う ) と 互換性を持っ た、 非常に精度の高い タ イ ム ス タ ン プ機能を オ
プシ ョ ンで提供 し てい ます。 こ れは、 サポー ト さ れてい る 7 シ リ ーズ デバ イ ス での 10GBASE-R 規格用です。 図 1-1
は AXI 10G Ethernet MAC コ アのブ ロ ッ ク 図を表 し てい ます。
X-Ref Target - Figure 1-1
2SWLRQDO$;,/LWH
0DQDJHPHQW
,QWHUIDFH
0',2
$;,6WUHDP
*LJDELW(WKHUQHW
0$&
0',2
,QWHUQDO
;*0,,
7LPHU6\QF
RSWLRQDOIRU
*LJDELW(WKHUQHW
3&630$
*%$6(5RU
*%$6(.5
6HULDO
7LPHU6\QF
RSWLRQDOIRU
6\VWHP7LPHU
;
図 1‐1 : AXI 10G Ethernet MAC ブ ロ ッ ク図
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
5
第 1 章 : 概要
10 ギガ ビ ッ ト イ ーサネ ッ ト MAC
X-Ref Target - Figure 1-2
;LOLQ[)3*$
&RUH
7UDQVPLW(QJLQH
VBD[LVBSDXVHBWYDOLG
VBD[LVBSDXVHBWGDWD
VBD[LVBW[BSIFBS>[@BWYDOLG
PBD[LVBU[BSIFBS>[@BWUHDG\
PBD[LVBU[BSIFBS>[@BWYDOLG
PBD[LVBU[BWGDWD PBD[LVBU[BWNHHS PBD[LVBU[BWYDOLG
PBD[LVBU[BWODVW
PBD[LVBU[BWXVHU
)ORZ&RQWURO
5HFHLYH(QJLQH
*%$6(5RU*%$6(.5
VBD[LVBW[BWGDWD
VBD[LVBW[BWNHHS
VBD[LVBW[BWYDOLG
VBD[LVBW[BWODVW
VBD[LVBW[BWUHDG\
VBD[LVBW[BWXVHU
5HFRQFLOLDWLRQ6XEOD\HU
$;,6WUHDPLQJ
8VHU/RJLF
$;,/LWH
:UDSSHU
$;,/LWH
8VHU/RJLF
0DQDJHPHQW%ORFN
&RQILJ
6WDWLVWLFV
,QWHUUXSW&RQWURO
0',2
図 1‐2 : 10 ギガ ビ ッ ト イ ーサネ ッ ト MAC のブ ロ ッ ク図
図 1-2 は 10 ギガ ビ ッ ト イ ーサネ ッ ト MAC のブ ロ ッ ク 図です。 その主な機能ブ ロ ッ ク には次の も のがあ り ます。
•
フ レームお よ びフ レーム間の間隔を フ ォーマ ッ ト す る 送信エン ジ ン
•
フ レーム をデ コ ー ド し 、 それに対 し てエ ラ ー チ ェ ッ ク を実行する 受信エン ジ ン
•
802.3 レ ガシ モー ド ま たは 802.1Qbb 優先フ ロ ー制御に よ る フ ロ ー制御
•
接続 さ れてい る 10GBASE-R/10GBASE-KR コ ア と MAC を イ ン タ ーフ ェ イ ス さ せ る リ コ ン シ リ エーシ ョ ン サブ
レ イ ヤー
•
コ ン フ ィ ギ ュ レーシ ョ ン用、 内部統計カ ウ ン タ ーへのア ク セ ス、 接続 さ れてい る 10GBASE-R/10GBASE-KR コ ア
の MDIO レ ジ ス タ と の接続用の AXI4-Lite イ ン タ ーフ ェ イ ス を提供する オプシ ョ ンの管理ブ ロ ッ ク
10GBASE‐R
Zynq®-7000、 Virtex®-7、 Kintex®-7 デバ イ ス、 お よ び UltraScale™ アーキ テ ク チ ャ デバ イ ス の場合、 ギアボ ッ ク ス の
一部お よ び SERDES を除 き 、 図説 さ れてい る PCS お よ び管理ブ ロ ッ ク のすべてが ロ ジ ッ ク に イ ン プ リ メ ン ト さ れま
す。 図 1-3 はそのアーキ テ ク チ ャ を示 し てい ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
6
第 1 章 : 概要
X-Ref Target - Figure 1-3
)DEULF
(ODVWLF
%XIIHU
EE
'HFRGH
*7
7HVW
3DWWHUQ
&KHFN
*LJDELW
(WKHUQHW
0$&
%ORFN
6\QF
'HVFUDPEOH
U[QS
%(50RQ
6(5'(6
3&6
7HVW
3DWWHUQ
*HQHUDWH
EE
(QFRGH
6FUDPEOH
3KDVH
),)2
*HDUER[
W[QS
;*0,,6'5
0',2 &RQWURO
6WDWXV
3&630$
5HJLVWHUV
;
図 1‐3 : 10GBASE‐R のブ ロ ッ ク 図
その主な機能ブ ロ ッ ク には次の も のがあ り ます。
•
ス ク ラ ンブ ラ ー、 64b/66b エン コ ーダー、 お よ びギ アボ ッ ク ス を含む送信パス
•
ブ ロ ッ ク 同期、 デス ク ラ ンブ ラ ー、 デ コ ーダー、 お よ び BER (Bit Error Rate) モニ タ ーを含む受信パ ス
•
受信デー タ パ ス のエ ラ ス テ ィ ッ ク バ ッ フ ァ ー
エ ラ ス テ ィ ッ ク バ ッ フ ァ ーの深 さ は 32 ワ ー ド です (1 ワー ド = 64 ビ ッ ト デー タ + 8 制御)。 バ ッ フ ァ ーが空にな
る と 、 ロ ーカル フ ォ ル ト コ ー ド がデー タ の代わ り に挿入 さ れます。 こ れに よ り 、 バ ッ フ ァ ーがオーバーフ ロ ー
す る 前に (そ し て ワ ー ド が破棄 さ れ る 前に)、 最高 64 の ク ロ ッ ク コ レ ク シ ョ ン (CC) シーケ ン ス を収集で き ます。
通常はバ ッ フ ァ ーは 1 ハーフ ま で埋ま り 、 ハーフ フルを超え た と き に CC シーケ ン ス を検出 し 、 ハーフ フルを
下回っ た と き に CC シーケ ン ス を挿入 し ます。 つま り 、 ハーフ フルの状態か ら 、 控えめにみて、 追加 360KB の
デー タ を破棄 さ れ る こ と な く 受信で き る よ う にな り ます (+200 ppm で受信)。 ハーフ フルの状態か ら 、 ロ ーカル
フ ォ ル ト を挿入せずに、 追加の 360KB のデー タ を処理で き る よ う にな り ます (-200 ppm の場合)。
•
テ ス ト パ タ ーン生成お よ びチ ェ ッ ク
•
光モジ ュ ールへのシ リ アル イ ン タ ーフ ェ イ ス
•
オプシ ョ ンの MDIO イ ン タ ーフ ェ イ ス を使用 し た管理レ ジ ス タ (PCS/PMA)
10GBASE‐KR
図 1-4 は 10GBASE-KR イ ン プ リ メ ン テーシ ョ ン のブ ロ ッ ク 図を説明 し た も のです。 その主な機能ブ ロ ッ ク には次の
も のがあ り ます。
•
ス ク ラ ンブ ラ ー、 64b/66b エン コ ーダー、 FEC、 AN、 お よ び ト レーニ ン グ を含む送信パス
•
ブ ロ ッ ク 同期、 デス ク ラ ンブ ラ ー、 デコ ーダー、 お よ び BER (Bit Error Rate) モニ タ ー、 FEC、 AN、 お よ び ト レー
ニ ン グ を含む受信パ ス
•
受信デー タ パ ス のエ ラ ス テ ィ ッ ク バ ッ フ ァ ー
エ ラ ス テ ィ ッ ク バ ッ フ ァ ーの深 さ は 32 ワ ー ド です (1 ワー ド = 64 ビ ッ ト デー タ + 8 制御)。 バ ッ フ ァ ーが空にな
る と 、 ロ ーカル フ ォ ル ト コ ー ド がデー タ の代わ り に挿入 さ れます。 こ れに よ り 、 バ ッ フ ァ ーがオーバーフ ロ ー
す る 前に (そ し て ワ ー ド が破棄 さ れ る 前に)、 最高 64 の ク ロ ッ ク コ レ ク シ ョ ン (CC) シーケ ン ス を収集で き ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
7
第 1 章 : 概要
通常はバ ッ フ ァ ーは 1 ハーフ ま で埋ま り 、 ハーフ フルを超え た と き に CC シーケ ン ス を検出 し 、 ハーフ フルを
下回っ た と き に CC シーケ ン ス を挿入 し ます。 つま り 、 ハーフ フルの状態か ら 、 控えめにみて、 追加 360KB の
デー タ を破棄 さ れ る こ と な く 受信で き る よ う にな り ます (+200 ppm で受信)。 ハーフ フルの状態か ら 、 ロ ーカル
フ ォ ル ト を挿入せずに、 追加の 360KB のデー タ を処理で き る よ う にな り ます (-200 ppm の場合)。
•
テ ス ト パ タ ーン生成お よ びチ ェ ッ ク
•
バ ッ ク プ レーン コ ネ ク タ ーへのシ リ アル イ ン タ ーフ ェ イ ス
•
オプシ ョ ンの MDIO イ ン タ ーフ ェ イ ス を使用 し た管理レ ジ ス タ (PCS/PMA)
X-Ref Target - Figure 1-4
)DEULF
*7
(ODVWLF
%XIIHU
*LJDELW
(WKHUQHW
U[QS
)(&
3&6
$1
75$,1
6(5'(6
0$&
W[QS
;*0,,
6'5
0',2
&RQWURO
6WDWXV
3&630$
5HJLVWHUV
;
図 1‐4 : BASE‐KR のブ ロ ッ ク図
機能一覧
こ の コ アは 10Gb/s イ ーサネ ッ ト 規格の リ ン ク 機能を実行 し ます。 802.3 フ ロ ー制御、 ま たオプシ ョ ン で802.1Qbb (優
先度ベース) フ ロ ー制御を、 送受信の両方向でサポー ト し ます。 コ アの送信部は、 『IEEE Standard 802.3-2012』 [参照 1]
に説明 さ れ て い る よ う に、 10Gb/s の実効デー タ レ ー ト を 維持す る た め、 DIC (Deficit Idle Count) を 使用 し て、 IFG
(interframe gap) を変更 し ます。
オプシ ョ ンの統計カ ウ ン タ ーは、 さ ま ざ ま な操作のエ ラ ーの有無に関 し て情報を収集 し ます。 こ れ ら のカ ウ ン タ ーに
は、 AXI4-Lite 管理 イ ン タ ーフ ェ イ ス を介 し て ア ク セ ス で き ます。
コ アは、 次の IEEE 1588-2008 サポー ト 機能 も サポー ト し てい ます。
•
送受信パ ス の両方で 10Gb イ ーサネ ッ ト ラ イ ン レー ト で IEEE 1588 と 互換性のあ る ハー ド ウ ェ ア タ イ ム ス タ ン
プ。 タ イ ム ス タ ンプの精度はすべての動作条件で ± 5ns を上回 り ます。
•
7 シ リ ーズ GTXE2 お よ び GTHE2 ト ラ ン シーバーを使用 し た 10GBASE-R ネ ッ ト ワー ク イ ン タ ーフ ェ イ ス での 1
ス テ ッ プお よ び 2 ス テ ッ プ操作に対 し ては、 IEEE 1588 ハー ド ウ ェ ア タ イ ム ス タ ンプ
•
コ アに提供 さ れてい る シ ス テ ム タ イ マー、 お よ びそ こ か ら 間接的に得 ら れ る タ イ ム ス タ ンプは、 IP コ ア生成時
に選択す る フ ォーマ ッ ト で使用で き ます。
°
°
ToD (Time-of-Day) フ ォーマ ッ ト : IEEE 1588-2012 フ ォーマ ッ ト で、符号な し の 48 ビ ッ ト 秒フ ィ ール ド と 、32
ビ ッ ト のナ ノ 秒フ ィ ール ド か ら 構成 さ れてい ます。
訂正フ ィ ール ド フ ォーマ ッ ト : IEEE 1588-2012 の数字フ ォーマ ッ ト で、16 の倍数のナ ノ 秒を表す符号付 き の
64 ビ ッ ト フ ィ ール ド か ら 構成 さ れてい ます (IEEE 1588 の 13.3.2.7 節を参照)。 こ の タ イ マーは 0 か ら 264-1
ま でカ ウ ン ト し てか ら ラ ッ プ し ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
8
第 1 章 : 概要
•
タ イ ム ス タ ンプ動作の イ ンバン ド お よ びア ウ ト オブバン ド 制御
•
受信側の タ イ ム ス タ ンプの イ ンバン ド お よ びア ウ ト オブバン ド の レ ポー ト 機能
ア プ リ ケーシ ョ ン
図 1-5 は典型的な イ ーサネ ッ ト シ ス テ ム アーキテ ク チ ャ お よ びその中に含まれ る コ ア を示 し てい ます。 MAC お よ び
その右側にあ る ブ ロ ッ ク はすべて、 『IEEE 802.3-2012』 [参照 1]で定義 さ れてい ます。
X-Ref Target - Figure 1-5
$;,*(WKHUQHW0$&&RUH
7&3
,3
),)2
,)
0$&
3&6
30$
30'
図 1‐5 : 典型的な イ ーサネ ッ ト シ ス テム アーキテ ク チ ャ
サポー ト さ れていない機能
こ の リ リ ース の コ アでは、 次の機能がサポー ト さ れてい ません。
•
•
IEEE 1588 のサポー ト さ れていない機能 :
°
7 シ リ ーズ GTXE2 お よ び GTHE2 ト ラ ン シーバー以外の ト ラ ン シーバー
°
10GBASE-R 以外の 10 ギガ ビ ッ ト イ ーサネ ッ ト PHY タ イ プ
°
コ ン フ ィ ギ ュ レーシ ョ ン/ス テー タ ス ベ ク タ ー
°
1 ス テ ッ プの タ イ ム ス タ ンプ処理 さ れた フ レームに対する 送信側の イ ンバン ド FCS 処理
WAN モー ド
ト レーニ ン グ プ ロ ト コ ルは コ アでサポー ト さ れてい ますが、受信 さ れた信号の質の解析に基づいた遠端 ト ラ ン ス ミ ッ
タ ーの適応を制御す る ロ ジ ッ ク は提供 さ れてい ません。 こ れは、 テ ス ト を行っ た結果、 そ う し た ロ ジ ッ ク は必要ない
と 判断 さ れたためです。
し か し 、 コ アには ト レーニ ン グ イ ン タ ーフ ェ イ ス が提供 さ れてお り 、 コ ア レ ジ ス タ のすべて、 ト ラ ン シーバー上の
DRP ポー ト へのア ク セ ス が可能です。 こ の イ ン タ ーフ ェ イ ス を使用 し て、 必要であれば 10GBASE-KR に対 し 、 ユー
ザー独自の ト レーニ ン グ アルゴ リ ズ ム を イ ンプ リ メ ン ト する こ と がで き ます。
ラ イ セ ン スおよび注文情報
ラ イ セ ン ス チ ェ ッ カ ー
IP に ラ イ セ ン ス キーが必要な場合、 そのキーは必ず検証 さ れます。 Vivado デザ イ ン ツールでは、 設計フ ロ ーに ラ イ
セ ン ス が必要な IP の使用を確認す る 、 ラ イ セ ン ス チ ェ ッ ク ポ イ ン ト が複数あ り ます。 ラ イ セ ン ス チ ェ ッ ク に問題が
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
9
第 1 章 : 概要
なければ、 IP は生成 さ れます。 問題があれば、 エ ラ ーが表示 さ れて生成は中止 さ れます。 次の ツールでチ ェ ッ ク ポ イ
ン ト で ラ イ セ ン ス がチ ェ ッ ク さ れます。
•
Vivado 合成
•
Vivado イ ンプ リ メ ン テーシ ョ ン
•
write_bitstream (Tcl コ マ ン ド )
重要 : IP ラ イ セ ン ス レベルはチ ェ ッ ク ポ イ ン ト では無視 さ れます。有効な ラ イ セ ン ス があ る か ど う かのみがチ ェ ッ ク
さ れます。 IP の ラ イ セ ン ス レベルはチ ェ ッ ク さ れません。
ラ イ セ ン スの種類
ザ イ リ ン ク ス モジ ュ ールは、 ザ イ リ ン ク ス コ ア ラ イ セ ン ス契約に基づいて提供 さ れてい ます。 モジ ュ ールは Vivado
Design Suite の一部 と し て配布 さ れ ます。 シ ミ ュ レーシ ョ ンお よ びハー ド ウ ェ アで コ アのすべての機能を利用す る に
は、 10G Ethernet MAC と 、 使用す る 場合は 10GBASE-KR コ アの ラ イ セ ン ス を ご購入いただ く 必要があ り ます。 価格
お よ び入手については、 ザ イ リ ン ク ス販売代理店ま でご連絡 く だ さ い。
詳細は、 AXI 10 Gigabit Ethernet の製品ページ を ご覧 く だ さ い。
こ の IP お よ びその他のザ イ リ ン ク ス に関す る 情報は、 ザ イ リ ン ク ス IP コ ア ページか ら 入手で き ます。 その他のザ
イ リ ン ク ス モジ ュ ールお よ びツールの価格や提供状況については、 ザ イ リ ン ク ス販売代理店にお問い合わせ く だ さ
い。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
10
第 2章
製品仕様
図 2-1 はAXI 10 Gigabit Ethernet コ アのブ ロ ッ ク 図です。
AXI4-Stream の 64 ビ ッ ト バ スは、 こ の コ アの送受信 イ ーサネ ッ ト デー タ 用です。 ま た、 レ ジ ス タ にア ク セ スす る た
めのプ ロ セ ッ サ コ アの接続用に、オプシ ョ ンの AXI4-Lite バス イ ン タ ーフ ェ イ ス も 提供 さ れてい ます。こ の AXI4-Lite
ス レーブ イ ン ターフ ェ イ スは、 シ ン グル ビー ト の読み出 し お よ び書き 込みデー タ 伝送をサポー ト し ます (バース ト は
な し )。
ほかの AXI4-Stream イ ン タ ーフ ェ イ ス は、 制御 ロ ジ ッ ク にオプシ ョ ンの IEEE 1588 タ イ ム ス タ ンプ を レ ポー ト す る た
めに提供 さ れてい ます。
IEEE 1588 サポー ト が選択 さ れてい る 場合は、 こ の コ アはオプシ ョ ンの タ イ マー同期化機能を提供 し ます。 選択 さ れ
てい る フ ォ ーマ ッ ト で 1588 のシ ス テ ム タ イ マーを、 シ ス テ ム タ イ マーの ク ロ ッ ク ド メ イ ン と 、 コ アの ク ロ ッ ク ド
メ イ ン と の間で同期化 さ せます。 こ の同期化は送受信デー タ パ ス のそれぞれに対 し て行われます。 こ れに よ り 、 非常
に正確な 1588 タ イ ム ス タ ンプが得 ら れます。
X-Ref Target - Figure 2-1
2SWLRQDO$;,/LWH
0DQDJHPHQW
,QWHUIDFH
0',2
$;,6WUHDP
*LJDELW(WKHUQHW
0$&
0',2
,QWHUQDO
;*0,,
7LPHU6\QF
RSWLRQDOIRU
*LJDELW(WKHUQHW
3&630$
*%$6(5RU
*%$6(.5
6HULDO
7LPHU6\QF
RSWLRQDOIRU
6\VWHP7LPHU
;
図 2‐1 : AXI 10 Gigabit Ethernet のブ ロ ッ ク図
規格
AXI 10 Gigabit Ethernet コ アは次の IEEE 規格に準拠 し てい ます。
•
IEEE 802.3-2012、 10 ギガ ビ ッ ト イ ーサネ ッ ト 仕様 [参照 1]
•
IEEE 1588-2008、 PTP (Precision Time Protocol) 規格のバージ ョ ン 2
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
11
第 2 章 : 製品仕様
•
IEEE 802.1Qbb 優先度ベース の フ ロ ー制御 [参照 2]
こ の コ アの 10GBASE-R/KR は、 10 ギガ ビ ッ ト イ ーサネ ッ ト 仕様であ る IEEE 802.3-2012 の 45、 49、 72、 73、 74 節で
指定 さ れてい る 規格に合わせて設計 さ れてい ます。
こ の コ アの 10 ギガ ビ ッ ト イ ーサネ ッ ト MAC は、 IEEE 802.3-2012 の 3、 4、 31、 45、 46 節で指定 さ れてい る 規格に合
わせて設計 さ れてい ます。
パフ ォ ーマ ン ス
AXI 10 Gigabit Ethernet コ アは、 10 ギガ ビ ッ ト イ ーサネ ッ ト シ ス テ ムの フル ラ イ ン レー ト で動作 し ます。
リ ソ ース使用率
次の結果は、 ハ イ エ フ ォ ー ト を除 き 、 ツールのデ フ ォ ル ト 設定 を使用 し た イ ン プ リ メ ン テーシ ョ ン後の も のです。
,LUT カ ウ ン ト には SRL16 ま たは SRL32 が含まれてい ます。 リ ソ ース カ ウ ン ト は、 ほかのツール オプシ ョ ン、 デバ
イ ス の追加 ロ ジ ッ ク 、 ザ イ リ ン ク ス ツールのバージ ョ ン と い っ た要素に も 左右 さ れ る 可能性があ り ます。
7 シ リ ーズおよび Zynq‐7000 All Programmable SoC デバイ ス
表 2-1 か ら 表 2-3 ま では、 7 シ リ ーズお よ び Zynq-7000 デバ イ ス で さ ま ざ ま な コ ア オプ シ ョ ン を 使用 し た場合の
リ ソ ー ス 概数 を 示 し て い ま す。
表 2‐1 : 10GBASE‐R 規格 (IEEE 1588 サポー ト な し )
パ ラ メ ー タ ー値
デバイ ス リ ソ ース
管理イ ン タ ー フ ェ イ ス
統計情報の収集
LUT
FF
N
N
5500
5430
Y
N
6300
6235
Y
Y
7380
7430
1. IEEE 802.1Qbb 優先度ベース の フ ロ ー制御の場合は、 追加で 550 個の LUT お よ び 320 個の フ リ ッ プ フ ロ ッ プを追加 し ます。
表 2‐2 : 10GBASE‐R 規格 (IEEE 1588 タ イムス タ ン プ サポー ト あ り )
パラ メ ー タ ー値
デバイ ス リ ソ ース
統計情報の収集
1 ス テ ッ プ/2 ス テ ッ プ
タ イ マー フ ォ ーマ ッ ト
LUT
FF
N
2 ス テ ッ プのみ
ToD
7505
8165
Y
2 ス テ ッ プのみ
ToD
8600
9360
N
1 ス テ ッ プお よ び
2 ステップ
ToD
7980
8530
Y
1 ス テ ッ プお よ び
2 ステップ
ToD
9075
9730
N
2 ス テ ッ プのみ
訂正フ ィ ール ド
7230
7875
Y
2 ス テ ッ プのみ
訂正フ ィ ール ド
8315
9070
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
12
第 2 章 : 製品仕様
表 2‐2 : 10GBASE‐R 規格 (IEEE 1588 タ イムス タ ン プ サポー ト あ り ) (続き)
パラ メ ー タ ー値
デバイ ス リ ソ ース
統計情報の収集
1 ス テ ッ プ/2 ス テ ッ プ
タ イ マー フ ォ ーマ ッ ト
LUT
FF
N
1 ス テ ッ プお よ び
2 ステップ
訂正フ ィ ール ド
7970
8330
Y
1 ス テ ッ プお よ び
2 ステップ
訂正フ ィ ール ド
9085
9520
1. IEEE 802.1Qbb 優先度ベース の フ ロ ー制御の場合は、 追加で 550 個の LUT お よ び 320 個の フ リ ッ プ フ ロ ッ プを追加 し ます。
表 2‐3 : 10GBASE‐KR 規格
パ ラ メ ー タ ー値
デバイ ス リ ソ ース
管理イ ン タ ー フ ェ イ ス
統計情報の収集
LUT
FF
N
N
6770
6930
Y
N
7880
7775
Y
Y
8950
8970
1. IEEE 802.1Qbb 優先度ベース の フ ロ ー制御の場合は、 追加で 550 個の LUT お よ び 320 個の フ リ ッ プ フ ロ ッ プを追加 し ます。
2. 10GBASE-KR オー ト ネ ゴ シエーシ ョ ンの場合は、 追加で 800 個の LUT お よ び 1800 個の フ リ ッ プ フ ロ ッ プを追加 し ます。
3. 10GBASE-KR 前方誤 り 訂正の場合は、 追加で 2600 個の LUT お よ び 3540 個の フ リ ッ プ フ ロ ッ プ を追加 し ます。
UltraScale デバイ ス
表 2-4 お よ び 表 2-5 は、 UltraScale ア ー キ テ ク チ ャ デバ イ ス で さ ま ざ ま な コ ア オプ シ ョ ン を 使用 し た場合の リ
ソ ー ス 概数 を 示 し て い ま す。
表 2‐4 : 10GBASE‐R 規格
パ ラ メ ー タ ー値
デバイ ス リ ソ ース
管理イ ン タ ー フ ェ イ ス
統計情報の収集
LUT
FF
N
N
5490
5430
Y
N
6260
6230
Y
Y
7350
7395
1. IEEE 802.1Qbb 優先度ベース の フ ロ ー制御の場合は、 追加で 550 個の LUT お よ び 320 個の フ リ ッ プ フ ロ ッ プを追加 し ます。
表 2‐5 : 10GBASE‐KR 規格
パラ メ ー タ ー値
デバイ ス リ ソ ース
管理イ ン タ ー フ ェ イ ス
統計情報の収集
LUT
FF
N
N
6790
6930
Y
N
7825
7775
Y
Y
8910
8940
1. IEEE 802.1Qbb 優先度ベース の フ ロ ー制御の場合は、 追加で 550 個の LUT お よ び 320 個の フ リ ッ プ フ ロ ッ プを追加 し ます。
2. 10GBASE-KR オー ト ネ ゴ シエーシ ョ ンの場合は、 追加で 800 個の LUT お よ び 1800 個の フ リ ッ プ フ ロ ッ プを追加 し ます。
3. 10GBASE-KR 前方誤 り 訂正の場合は、 追加で 2600 個の LUT お よ び 3540 個の フ リ ッ プ フ ロ ッ プ を追加 し ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
13
第 2 章 : 製品仕様
ポー ト の説明
AXI4‐Stream 送信イ ン タ ー フ ェ イ ス
AXI4-Stream 送信 イ ン タ ーフ ェ イ ス信号は表 2-6 に ま と め ら れてい ます。送信 イ ン タ ーフ ェ イ スへの接続については、
「デー タ イ ン タ ーフ ェ イ ス の接続」 を参照 し て く だ さ い。
表 2‐6 : AXI4‐Stream イ ン タ ー フ ェ イ ス ポー ト – 送信
名前
方向
説明
tx_axis_aresetn
入力
送信パ ス の AXI4-Stream ア ク テ ィ ブ Low リ セ ッ ト 。
s_axis_tx_tdata[63:0]
入力
AXI4-Stream デー タ コ ア。
s_axis_tx_tkeep[7:0]
入力
コ アへの AXI4-Stream デー タ 制御。
s_axis_tx_tvalid
入力
コ アへの AXI4-Stream デー タ 有効入力。
s_axis_tx_tuser[0:0](1)
入力
明示的な ア ン ダー ラ ン を知 ら せる AXI4-Stream ユーザー信号。 こ れは、 今
後の拡張に備え、 1 ビ ッ ト ではな く 、 長 さ が 1 のベ ク タ ーです。
tx_ifg_delay[7:0]
入力
パケ ッ ト 間の IFG を設定 し ます。
s_axis_tx_tlast
入力
イ ーサネ ッ ト パケ ッ ト の終わ り を知 ら せ る コ アへの AXI4-Stream 信号
s_axis_tx_tready
出力
デー タ 伝送の開始を知 ら せる AXI4-Stream 肯定応答信号
1. s_axis_tx_tuser は 1588 を使用 し ていない場合は [0:0]、 使用 し てい る 場合は [127:0]
AXI4‐Stream 受信イ ン タ ー フ ェ イ ス
AXI4-Stream 受信 イ ン タ ーフ ェ イ ス信号は表 2-7 に ま と め ら れてい ます。受信 イ ン タ ーフ ェ イ スへの接続については、
「デー タ イ ン タ ーフ ェ イ ス の接続」 を参照 し て く だ さ い。
表 2‐7 : AXI4‐Stream イ ン タ ー フ ェ イ ス ポー ト – 受信
名前
方向
説明
rx_axis_aresetn
入力
受信パ ス の AXI4-Stream ア ク テ ィ ブ Low リ セ ッ ト 。
m_axis_rx_tdata[63:0]
出力
コ アか ら 上位層への AXI4-Stream デー タ 。
m_axis_rx_tkeep[7:0]
出力
コ アか ら 上位層への AXI4-Stream デー タ 制御。
m_axis_rx_tvalid
出力
コ アか ら の AXI4-Stream デー タ 有効。
m_axis_rx_tuser
出力
コ アか ら の AXI4-Stream ユーザー信号。
0 は不正パケ ッ ト が受信 さ れた こ と を示す。
1 は有効パケ ッ ト が受信 さ れた こ と を示す。
m_axis_rx_tlast
出力
パケ ッ ト の終わ り を知 ら せる コ アか ら の AXI4-Stream 信号
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
14
第 2 章 : 製品仕様
フ ロー制御イ ン タ ー フ ェ イ ス (IEEE 802.3)
フ ロ ー制御 イ ン タ ーフ ェ イ ス は コ アか ら の フ ロ ー制御フ レ ーム送信を開始す る ために使用 さ れ ま す。 こ の イ ン タ ー
フ ェ イ ス に関連付け ら れてい る ポー ト は表 2-8 に ま と め ら れてい ます。
表 2‐8 : フ ロー制御イ ン タ ー フ ェ イ ス ポー ト (IEE802.3)
名前
方向
説明
s_axis_pause_tvalid
入力
コ アか ら フ ロ ー制御フ レームの送信を リ ク エ ス ト 。
s_axis_pause_tdata[15:0]
入力
s_axis_pause_tvalid がアサー ト さ れ る と 、フ ロ ー制御フ レームの値
フ ィ ール ド が停止。
優先 フ ロー制御イ ン タ ー フ ェ イ ス (802.1Qbb)
優先フ ロ ー制御 (PFC) イ ン タ ーフ ェ イ ス は コ アか ら の PFC フ レーム送信を開始す る ために使用 さ れ ます。 こ の イ ン
タ ーフ ェ イ ス に関連付け ら れてい る ポー ト は表 2-9 に ま と め ら れてい ます。 こ の イ ン タ ーフ ェ イ ス は、 コ アのカ ス タ
マ イ ズ時に優先ベース の フ ロ ー制御が選択 さ れてい る 場合にのみ存在 し ます。
表 2‐9 : 優先フ ロー制御ポー ト
名前
方向
説明
m_axis_rx_pfc_p0_tvalid
出力
優先度 0 の RX FIFO への リ ク エ ス ト を停止。
m_axis_rx_pfc_p0_tready
入力
優先度 0 の RX FIFO か ら の肯定応答を停止。 取 り 込まれた量子は、 こ れが
ア サー ト さ れ る と 有効期限が消化 さ れ始め る 。 使用 さ れて い な い場合は、
High に し てお く 必要があ る。
m_axis_rx_pfc_p1_tvalid
出力
優先度 1 の RX FIFO への リ ク エ ス ト を停止。
m_axis_rx_pfc_p1_tready
入力
優先度 1 の RX FIFO か ら の肯定応答を停止。 取 り 込まれた量子は、 こ れが
ア サー ト さ れ る と 有効期限が消化 さ れ始め る 。 使用 さ れて い な い場合は、
High に し てお く 必要があ る 。
m_axis_rx_pfc_p2_tvalid
出力
優先度 2 の RX FIFO への リ ク エ ス ト を停止。
m_axis_rx_pfc_p2_tready
入力
優先度 2 の RX FIFO か ら の肯定応答を停止。 取 り 込まれた量子は、 こ れが
ア サー ト さ れ る と 有効期限が消化 さ れ始め る 。 使用 さ れて い な い場合は、
High に し てお く 必要があ る。
m_axis_rx_pfc_p3_tvalid
出力
優先度 3 の RX FIFO への リ ク エ ス ト を停止。
m_axis_rx_pfc_p3_tready
入力
優先度 3 の RX FIFO か ら の肯定応答を停止。 取 り 込まれた量子は、 こ れが
ア サー ト さ れ る と 有効期限が消化 さ れ始め る 。 使用 さ れて い な い場合は、
High に し てお く 必要があ る。
m_axis_rx_pfc_p4_tvalid
出力
優先度 4 の RX FIFO への リ ク エ ス ト を停止。
m_axis_rx_pfc_p4_tready
入力
優先度 4 の RX FIFO か ら の肯定応答を停止。 取 り 込まれた量子は、 こ れが
ア サー ト さ れ る と 有効期限が消化 さ れ始め る 。 使用 さ れて い な い場合は、
High に し てお く 必要があ る。
m_axis_rx_pfc_p5_tvalid
出力
優先度 5 の RX FIFO への リ ク エ ス ト を停止。
m_axis_rx_pfc_p5_tready
入力
優先度 5 の RX FIFO か ら の肯定応答を停止。 取 り 込まれた量子は、 こ れが
ア サー ト さ れ る と 有効期限が消化 さ れ始め る 。 使用 さ れて い な い場合は、
High に し てお く 必要があ る。
m_axis_rx_pfc_p6_tvalid
出力
優先度 6 の RX FIFO への リ ク エ ス ト を停止。
m_axis_rx_pfc_p6_tready
入力
優先度 6 の RX FIFO か ら の肯定応答を停止。 取 り 込まれた量子は、 こ れが
ア サー ト さ れ る と 有効期限が消化 さ れ始め る 。 使用 さ れて い な い場合は、
High に し てお く 必要があ る。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
15
第 2 章 : 製品仕様
表 2‐9 : 優先フ ロー制御ポー ト (続き)
名前
方向
説明
m_axis_rx_pfc_p7_tvalid
出力
優先度 7 の RX FIFO への リ ク エ ス ト を停止。
m_axis_rx_pfc_p7_tready
入力
優先度 7 の RX FIFO か ら の肯定応答を停止。 取 り 込まれた量子は、 こ れが
ア サー ト さ れ る と 有効期限が消化 さ れ始め る 。 使用 さ れて い な い場合は、
High に し てお く 必要があ る。
s_axis_tx_pfc_p0_tvalid
入力
優先 FIFO か ら の リ ク エ ス ト を停止。 次のポ イ ン ト の PFC フ レームにな る 。
s_axis_tx_pfc_p1_tvalid
入力
優先 FIFO か ら の リ ク エ ス ト を停止。 次のポ イ ン ト の PFC フ レームにな る 。
s_axis_tx_pfc_p2_tvalid
入力
優先 FIFO か ら の リ ク エ ス ト を停止。 次のポ イ ン ト の PFC フ レームにな る 。
s_axis_tx_pfc_p3_tvalid
入力
優先 FIFO か ら の リ ク エ ス ト を停止。 次のポ イ ン ト の PFC フ レームにな る 。
s_axis_tx_pfc_p4_tvalid
入力
優先 FIFO か ら の リ ク エ ス ト を停止。 次のポ イ ン ト の PFC フ レームにな る 。
s_axis_tx_pfc_p5_tvalid
入力
優先 FIFO か ら の リ ク エ ス ト を停止。 次のポ イ ン ト の PFC フ レームにな る 。
s_axis_tx_pfc_p6_tvalid
入力
優先 FIFO か ら の リ ク エ ス ト を停止。 次のポ イ ン ト の PFC フ レームにな る 。
s_axis_tx_pfc_p7_tvalid
入力
優先 FIFO か ら の リ ク エ ス ト を停止。 次のポ イ ン ト の PFC フ レームにな る 。
シ リ アル デー タ ポー ト
光モジ ュ ールま たはバ ッ ク プ レーンのいずれかであ る PMD に、 シ リ アル デー タ ポー ト を接続する 必要があ り ます。
表 2‐10 : シ リ アル デー タ ポー ト
信号名
方向
説明
txn, txp
出力
シ リ アル デー タ か ら 光モジ ュ ール/バ ッ ク プ レーン
rxn、 rxp
入力
光モジ ュ ール/バ ッ ク プ レーンか ら シ リ アル デー タ ポー ト
光モ ジ ュ ール イ ン タ ー フ ェ イ ス ポー ト
接続 さ れて い る 光モ ジ ュ ールへの ス テー タ ス お よ び制御 イ ン タ ー フ ェ イ ス は、 接続す る 必要の あ る ピ ン の簡単な
pin-to-pin イ ン タ ーフ ェ イ ス です。 こ れ ら の信号については、 表 2-11 で説明 さ れてい ます。 10GBASE-R コ アへの光モ
ジ ュ ールの接続につい て は、 第 3 章 「 コ ア を 使用す る デザ イ ン」 を 参照 し て く だ さ い。 10GBASE-KR の場合は、
signal_detect を 1 に、 tx_fault を 0 に接続 し 、 tx_disable を未接続の ま ま に し てお く こ と を推奨 し ます。
表 2‐11 : 光モ ジ ュ ール イ ン タ ー フ ェ イ ス ポー ト
信号名
方向
説明
signal_detect
入力
接続 さ れてい る 光モジ ュ ールか ら ス テー タ ス信号(1)
tx_fault
入力
接続 さ れてい る 光モジ ュ ールか ら ス テー タ ス信号(2)(3)
tx_disable
出力
接続 さ れてい る 光モジ ュ ールか ら 制御信号
1. 光モジ ュ ールがあ る 場合、 コ アへの signal_detect 入力を作成す る には、 MODDEF0 お よ び LOS (Loss of Signal) の論理 NOR
出力を使用す る 必要があ り ます。
2. こ の信号は こ のバージ ョ ン の コ ア内部では接続 さ れ ま せん。 こ れ ら の入力を処理 し 、 必要に応 じ てデザ イ ン を リ セ ッ ト す る 必
要があ り ます。
3. SFP+ tx_fault 信号ま たはXFP MOD_NR 信号の、 ど ち ら かあ る ほ う にに接続 し ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
16
第 2 章 : 製品仕様
ク ロ ッ ク および リ セ ッ ト ポー ト
Zynq-7000、 Virtex-7、 Kintex-7、 お よ び UltraScale アーキ テ ク チ ャ デバ イ ス の場合、 ク ロ ッ ク お よ び リ セ ッ ト ポー ト
は、 共有 ロ ジ ッ ク のオプシ ョ ン を含め、 こ のセ ク シ ョ ンで説明 さ れてい ます。
サン プル デザイ ンに含まれた共有ロ ジ ッ ク
[Include Shared Logic in example design] が コ ア カ ス タ マ イ ズ中に選択 さ れた場合、 ク ロ ッ ク お よ び リ セ ッ ト 管理用の
回路は、 サ ン プル デザ イ ン の最上位 ソ ース に含ま れ ます。 ク ロ ッ ク ジ ェ ネ レー タ ー、 リ セ ッ ト シ ン ク ロ ナ イ ザー、
特定アプ リ ケーシ ョ ンに便利なほかの回路な ど が こ れに含まれます。
表 2-12 は、 ク ロ ッ ク お よ び リ セ ッ ト に関連付け ら れてい る コ アのポー ト を示 し てい ます。
表 2‐12 : サン プル デザイ ン内の共有ロ ジ ッ ク のク ロ ッ ク および リ セ ッ ト
信号名
方向
説明
clk156
入力
コア ク ロ ッ ク
txusrclk、 txusrclk2
入力
GTXE2/GTHE2/GTHE3/GTYE3 ト ラ ン シーバーの TXCLKOUT か ら 派生
す る 送信パ ス ク ロ ッ ク
dclk
入力
管理/DRP ク ロ ッ ク は、 該当する ト ラ ン シーバーの DRPCLK に対 し 有効
な任意の値にな り ます。
areset
入力
非同期 (マ ス タ ー ) リ セ ッ ト (1)
txclk322(2)
出力
GTXE2/GTHE2/GTHE3/GTYE3 出力か ら 共有 ク ロ ッ ク 生成 ロ ジ ッ ク への
TXOUTCLK
rxrecclk
出力
IEEE 1588 サポー ト が含まれてい る 場合にのみ こ の信号が存在 し ます。
areset_clk156
入力
clk156 ド メ イ ンの同期 リ セ ッ ト
gttxreset
入力
clk156 ド メ イ ンの ト ラ ン シーバー TX リ セ ッ ト 信号
gtrxreset
入力
clk156 ド メ イ ンの ト ラ ン シーバー RX リ セ ッ ト 信号
qplllock
入力
7 シ リ ーズ デバ イ ス の ト ラ ン シーバー QPLL ロ ッ ク 信号
qplloutclk
入力
7 シ リ ーズ デバ イ ス の ト ラ ン シーバー QPLL ク ロ ッ ク
qplloutrefclk
入力
7 シ リ ーズ デバ イ ス の ト ラ ン シーバー QPLL refclk
qpll0lock
入力
UltraScale アーキ テ ク チ ャ の ト ラ ン シーバー QPLL ロ ッ ク 信号
qpll0outclk
入力
UltraScale アーキ テ ク チ ャ の ト ラ ン シーバー QPLL ク ロ ッ ク
qpll0outrefclk
入力
UltraScale アーキ テ ク チ ャ の ト ラ ン シーバー QPLL refclk
reset_counter_done
入力
コ ン フ ィ ギ ュ レーシ ョ ン完了後 500ns が経過 し た こ と を示す
tx_resetdone
出力
ト ラ ン シーバー TX reset-done
rx_resetdone
出力
ト ラ ン シーバー RX reset-done
mmcm_locked_clk156
入力
共有 ロ ジ ッ ク の一部の MMCM か ら の ロ ッ ク さ れた こ と を示す信号で
す。 IEEE 1588 サポー ト が含まれてい る 場合にのみ こ の信号が存在 し ま
す。
txfsmresetdone
入力
ト ラ ン シーバーの ト ラ ン ス ミ ッ タ ー初期化 ス テー ト マシ ン が完了 し た
こ と を示す信号です。 IEEE 1588 サポー ト が含まれてい る 場合にのみ こ
の信号が存在 し ます。
gt0_txuserrdy
入力
ト ラ ン シーバーか ら の TXUSDERRDY 出力。 IEEE 1588 サポー ト が含ま
れてい る 場合にのみ こ の信号が存在 し ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
17
第 2 章 : 製品仕様
表 2‐12 : サン プル デザイ ン内の共有ロ ジ ッ ク のク ロ ッ ク および リ セ ッ ト (続き)
信号名
方向
説明
gt0_gttxreset
入力
ト ラ ン ス ミ ッ タ ー初期化 ス テー ト マシ ン に よ り 出力 さ れ る ト ラ ン シー
バーの Tx PCS リ セ ッ ト 。 IEEE 1588 サポー ト が含 ま れてい る 場合にの
み こ の信号が存在 し ます。
gt0_txresetdone_out
出力
ト ラ ン シーバーか ら の TXRESETDONE 信号。 IEEE 1588 サポー ト が含
まれてい る 場合にのみ こ の信号が存在 し ます。
txclk322_in
入力
こ れは 322.26MHz ク ロ ッ ク で、 ト ラ ン シーバーの TXUSRCLK お よ び
TXUSRCLK2 ポー ト への接続のため コ アへ入力 さ れます。 IEEE 1588 サ
ポー ト が含まれてい る 場合にのみ こ の信号が存在 し ます。
txoutclk
出力
こ れは ト ラ ン シーバーか ら の TXOUTCLK ク ロ ッ ク 信号です。IEEE 1588
サポー ト が含まれてい る 場合にのみ こ の信号が存在 し ます。
入力
こ れは低周波数の ク ロ ッ ク 信号で、 その周期は、txclk322_in と clk156 の
周期の最小公倍数です。 こ れは、 コ ア内の ク ロ ッ ク ド メ イ ン全体で、 決
定的な レ イ テ ン シ を得 る ために使用 さ れます。 IEEE 1588 サポー ト が含
まれてい る 場合にのみ こ の信号が存在 し ます。
lfclk
1. こ の リ セ ッ ト は、 すべての管理レ ジ ス タ も リ セ ッ ト し ます。
2. UltraScale アーキ テ ク チ ャ デバ イ ス の 10GBASE-R コ アの場合、 こ の ク ロ ッ ク は 312.5MHz で実行 し ます。
重要 : 共有 ロ ジ ッ ク が コ アに含まれてい る 場合は、clk156_out が コ ア ク ロ ッ ク のポー ト 名にな り 、 共有 ロ ジ ッ ク が
サ ン プル デザ イ ン に含 ま れてい る 場合は、 clk156 が コ ア ク ロ ッ ク のポー ト 名にな り ます。 便宜上、 本書では説明
文お よ び図に統一 し て 「clk156」 のみを 使用 し ま すが、 共有 ロ ジ ッ ク の コ ン フ ィ ギ ュ レ ーシ ョ ン次第で、 こ れは
clk156 ま たは clk156_out を表 し ます。
コ アに含まれた共有ロ ジ ッ ク
コ ア カ ス タ マ イ ズ中に [Include Shared Logic in core] が選択 さ れた場合、ク ロ ッ キ ン グお よ び リ セ ッ ト ブ ロ ッ ク のほ と
ん ど が コ ア内に含め ら れます。
表 2-13 は、 こ れ ら の ク ロ ッ ク お よ び リ セ ッ ト に関連付け ら れてい る コ アのポー ト を示 し てお り 、 こ れ ら は、 ほかの
ユーザー ロ ジ ッ ク ま たは IP コ アで再利用する こ と がで き ます。
表 2‐13 : コ ア内の共有ロ ジ ッ ク のク ロ ッ ク および リ セ ッ ト
信号名
方向
説明
refclk_p, refclk_n
入力
差動 ク ロ ッ ク 入力 ( ト ラ ン シーバー用)
dclk
入力
管理/DRP ク ロ ッ ク は、 該当す る ト ラ ン シーバーの drpclk に対 し 有効な
任意の値にな り ます。
reset
入力
非同期 (マ ス タ ー ) リ セ ッ ト (1)
resetdone
出力
ト ラ ン シーバーの reset-done と いっ し ょ に ま と め ら れます (clk156_out ド
メ イ ンで)。
clk156_out
出力
コア ク ロ ッ ク
qplllock_out
出力
コ アの QPLL ブ ロ ッ ク か ら の ロ ッ ク の通知 (7 シ リ ーズ デバ イ ス)
qplloutclk_out
出力
コ アの QPLL ブ ロ ッ ク か ら の QPLL 出力 ク ロ ッ ク (7 シ リ ーズ デバ イ ス)
qplloutrefclk_out
出力
コ アの QPLL ブ ロ ッ ク か ら の QPLL 出力基準 ク ロ ッ ク (7 シ リ ーズ デバ
イ ス)
qpll0lock_out
出力
コ アの QPLL ブ ロ ッ ク か ら の ロ ッ ク の通知 (UltraScale アーキ テ ク チ ャ )
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
18
第 2 章 : 製品仕様
表 2‐13 : コ ア内の共有ロ ジ ッ ク のク ロ ッ ク および リ セ ッ ト (続き)
信号名
方向
説明
qpll0outclk_out
出力
コ アの QPLL ブ ロ ッ ク か ら の QPLL 出力 ク ロ ッ ク (UltraScale アーキテ ク
チャ )
qpll0outrefclk_out
出力
コ アの QPLL ブ ロ ッ ク か ら の QPLL 出力基準 ク ロ ッ ク (UltraScale アーキ
テ クチャ )
txusrclk_out
出力
コ アの共有 ロ ジ ッ ク か ら の txusrclk
txusrclk2_out
出力
コ アの共有 ロ ジ ッ ク か ら の txusrclk2
rxrecclk
出力
IEEE 1588 サポー ト が含まれてい る 場合にのみ こ の信号が存在 し ます。
areset_clk156_out
出力
clk156 に同期 し た リ セ ッ ト 信号
gttxreset_out
出力
ト ラ ン シーバーの TX 側を リ セ ッ ト す る のに使用 さ れ る 信号、 clk156 に
同期
gtrxreset_out
出力
ト ラ ン シーバーの RX 側を リ セ ッ ト す る のに使用 さ れ る 信号、 clk156 に
同期
txuserrdy_out
出力
QPLLLOCK 信号 と 等価の ト ラ ン シーバー制御信号で、 txusrclk2 に同期
reset_counter_done_out
出力
コ ン フ ィ ギ ュ レ ーシ ョ ン後 ま たはマ ス タ ー リ セ ッ ト 後に 500ns 経過 し
た こ と を示 し 、 clk156 に同期
mmcm_locked_
clk156_out
出力
共有 ロ ジ ッ ク の一部の MMCM か ら の ロ ッ ク さ れた こ と を 示す信号で
す。 IEEE 1588 サポー ト が含まれてい る 場合にのみ こ の信号が存在 し ま
す。
txfsmresetdone_out
出力
ト ラ ン シーバーの ト ラ ン ス ミ ッ タ ー初期化 ス テー ト マ シ ン が完了 し た
こ と を示す信号です。 IEEE 1588 サポー ト が含まれてい る 場合にのみ こ
の信号が存在 し ます。
gt0_txuserrdy_out
出力
ト ラ ン シーバーか ら の TXUSDERRDY 出力。 IEEE 1588 サポー ト が含ま
れてい る 場合にのみ こ の信号が存在 し ます。
gt0_gttxreset_out
出力
ト ラ ン ス ミ ッ タ ー初期化 ス テー ト マシ ン に よ り 出力 さ れ る ト ラ ン シー
バーの Tx PCS リ セ ッ ト 信号。IEEE 1588 サポー ト が含まれてい る 場合に
のみ こ の信号が存在 し ます。
1. こ の リ セ ッ ト は、 すべての管理レ ジ ス タ も リ セ ッ ト し ます。
TX バ ッ フ ァ ー バイパスの手動位相ア ラ イ メ ン ト ポー ト
コ ア カ ス タ マ イ ズ中に [Include Shared Logic in example design] が選択 さ れてい る 場合、 サンプル デザ イ ンに含め ら れ
る ソ ー ス は、 ク ロ ッ ク お よ び リ セ ッ ト 用の回路をは じ め、 共有で き る 可能性のあ る リ ソ ース にな り ます。 IEEE 1588
サポー ト を含めた状態で コ ア を コ ン フ ィ ギ ュ レ ー ト し てい る 場合、 こ の共有 ロ ジ ッ ク には、 7 シ リ ーズ ト ラ ン シー
バー ウ ィ ザー ド の手動位相ア ラ イ メ ン ト ス テー ト マシ ン も 含まれます。 こ れは、 ト ラ ン シーバーの決定レ イ テ ン シ
を確実にす る のに ト ラ ン シーバーを送信バ ッ フ ァ ー バ イ パス モー ド で使用す る 必要があ る ため、必要にな り ます。ま
た、 ひいては、 正確なハー ド ウ ェ ア タ イ ム ス タ ンプ を得る ために必要 と な り ます。 表 2-14 は、 手動位相ア ラ イ メ ン
ト ス テー ト マシ ン と GT ウ ィ ザー ド と の間で接続 さ れてい る コ アのポー ト を示 し てい ます。 こ れ ら の信号の詳細は、
『7 シ リ ーズ ト ラ ン シーバー ユーザー ガ イ ド 』 (UG476) [参照 10] を参照 し て く だ さ い。
表 2‐14 : TX バ ッ フ ァ ー バイパスの手動位相ア ラ イ メ ン ト ポー ト
信号名
方向
説明
s_alignment_txdlyen
入力
TX 遅延ア ラ イ メ ン ト を イ ネーブル
s_alignment_txdlysreset
入力
TX 遅延ア ラ イ メ ン ト ソ フ ト リ セ ッ ト
s_alignment_txdlysresetdone
出力
TX 遅延ア ラ イ メ ン ト ソ フ ト リ セ ッ ト が完了 し た こ と を示 し ま
す。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
19
第 2 章 : 製品仕様
表 2‐14 : TX バ ッ フ ァ ー バイパスの手動位相ア ラ イ メ ン ト ポー ト
信号名
方向
s_alignment_txphalign
説明
入力
TX 位相ア ラ イ メ ン ト を設定
s_alignment_txphaligndone
出力
TX 位相ア ラ イ メ ン ト 完了
s_alignment_txphinit
入力
TX 位相ア ラ イ メ ン ト 初期化
s_alignment_txphinitdone
出力
TX 位相ア ラ イ メ ン ト 初期化が完了 し た こ と を示 し ます。
10GBASE‐KR ト レーニ ン グ イ ン タ ー フ ェ イ ス
Virtex-7 お よ び UltraScale アーキ テ ク チ ャ では、 10GBASE-KR のみでですが、 外部 ト レーニ ン グ アルゴ リ ズ ム を オプ
シ ョ ン で ト レ ーニ ン グ イ ン タ ーフ ェ イ ス に接続す る こ と がで き ま す。 こ れに よ り 、 コ アの 802.3 レ ジ ス タ と ト ラ ン
シーバーの DRP レ ジ ス タ の両方にア ク セ スする こ と がで き る よ う にな り ます。 表 2-15 は、 その イ ン タ ーフ ェ イ ス に
関連付け ら れてい る コ アのポー ト を示 し てい ます。
表 2‐15 : ト レーニ ング イ ン タ ー フ ェ イ ス ポー ト
信号名
方向 説明
training_enable
入力
ト レ ーニ ン グ イ ン タ ー フ ェ イ ス を イ ネーブルにす る た め の外部 ト
レーニ ン グ アルゴ リ ズ ムか ら の信号。IEEE レ ジ ス タ 1.150.1 の ト レー
ニ ン グ イ ネーブル と 混同 し ない よ う 注意が必要です。 ト レ ーニ ン グ
イ ネーブルの立ち上が り エ ッ ジで レ ジ ス タ ア ク セ ス が開始 し ます。
training_addr[20:0]
入力
ト レーニ ン グ アルゴ リ ズ ムか ら の レ ジ ス タ ア ド レ ス。 802.3 レ ジ ス タ
の場合、 ビ ッ ト [20:16] は DEVAD です。
training_rnw
入力
ト レーニ ン グ アルゴ リ ズ ムか ら の読み出 し /書き 込みバー信号
training_ipif_cs
入力
コ アの 802.3 レ ジ ス タ へのア ク セ ス を選択(1)
training_drp_cs
入力
ト ラ ン シーバーの DRP レ ジ ス タ へのア ク セ ス を選択
training_rddata[15:0]
出力
DRP ま たは 802.3 レ ジ ス タ か ら の読み出 し デー タ
training_rdack
出力
外部 ト レーニ ン グ アルゴ リ ズ ムへの読み出 し 肯定応答信号
training_wrack
出力
外部 ト レーニ ン グ アルゴ リ ズ ムへの書 き 込み肯定応答信号
1. すべての レ ジ ス タ には コ ン フ ィ ギ ュ レーシ ョ ンお よ びス テー タ ス ベ ク タ ーが使用 さ れてい る ので、 コ アが MDIO イ ン タ ーフ ェ
イ ス な し で生成 さ れてい る 場合、 こ の信号には効力はあ り ま せん。 その場合 こ の信号を 0 に接続す る 必要があ り ます。 ト レー
ニ ン グ イ ン タ ーフ ェ イ ス を使用 し た ト ラ ン シーバーの DRP レ ジ ス タ へのア ク セ ス には影響はあ り ません。
図 2-2 お よ び 図 2-3 は、 DRP ポー ト を介 し て、 内部 コ ア レ ジ ス タ お よ び ト ラ ン シーバー レ ジ ス タ へア ク セ スす る た
め ト レーニ ン グ イ ン タ ーフ ェ イ ス を使用する 場合の タ イ ミ ン グ図を示 し てい ます。 図にあ る よ う に
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
20
第 2 章 : 製品仕様
training_drp_cs、 training_ipif_cs、 お よ び training_enable は、 読み出 し ま たは書 き 込みのア ク セ
ス の間、 Low に し てお く 必要があ り ます。
X-Ref Target - Figure 2-2
図 2‐2 : 内部 コ ア レ ジ ス タ にア ク セスする ための ト レーニ ング イ ン タ ー フ ェ イ スの使用
X-Ref Target - Figure 2-3
図 2‐3 : DRP ポー ト を介 し て ト ラ ン シーバー レ ジ ス タ にア ク セスする ための ト レーニ ング イ ン タ ー フ ェ イ スの使用
DRP イ ン タ ー フ ェ イ ス ポー ト
Zynq‐7000、 Virtex‐7、 お よび Kintex‐7 デバイ ス
ユーザー ロ ジ ッ ク を ト ラ ン シーバーの DRP イ ン タ ーフ ェ イ ス に接続 し やす く す る ため、 コ ア ロ ジ ッ ク と ト ラ ン シー
バーの間の イ ン タ ーフ ェ イ ス は、 外部アービ タ ブ ロ ッ ク に接続可能な イ ン タ ーフ ェ イ ス にな っ てい ます。 ト ラ ン シー
バーの DRP への直接 イ ン タ ーフ ェ イ ス も 提供 さ れてい ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
21
第 2 章 : 製品仕様
ユーザー ロ ジ ッ ク ま たはアービ タ が不要な場合は、core_gt_drp_interface を user_gt_drp_interface に直
接接続で き 、 ま た drp_req を drp_gnt に直接接続で き ます。
表 2‐16 : DRPイ ン タ ー フ ェ イ ス ポー ト
信号名
drp_req
方向
イ ン ターフ ェ イス
説明
出力
なし
こ のア ク テ ィ ブ High の信号は、 DRP への
ア ク セ ス を リ ク エ ス ト し 保持す る ため、 外
部アービ タ に使用で き ます。
なし
外部アー ビ タ に よ り DRP への ア ク セ ス が
許可 さ れ る と き 、こ の信号を High に駆動す
る 必要があ り ます。 外部アービ タ がない場
合は、 こ れ を drp_req 信号に直接接続 し
ます。
drp_gnt
入力
core_gt_drp_daddr[15:0]
出力
core_gt_drp_interface
こ のベ ク タ ーは コ アに よ り 駆動 さ れ、 やが
て ト ラ ン シーバーの DADDR ポー ト で使用
さ れます。
core_gt_drp_den
出力
core_gt_drp_interface
こ の信号は コ アに よ り 駆動 さ れ、 やがて ト
ラ ン シーバーの DEN ポー ト で使用 さ れ ま
す。
core_gt_drp_di[15:0]
出力
core_gt_drp_interface
こ のベ ク タ ーは コ アに よ り 駆動 さ れ、 やが
て ト ラ ン シーバーの DI ポー ト で使用 さ れ
ます。
core_gt_drp_dwe
出力
core_gt_drp_interface
こ の信号は コ アに よ り 駆動 さ れ、 やがて ト
ラ ン シーバーの DWE ポー ト で使用 さ れ ま
す。
core_gt_drp_drpdo[15:0]
入力
core_gt_drp_interface
こ のベ ク タ ーは外部アー ビ タ ま たは
user_gt_drp_drpdo に よ り 駆動 さ れ、や
がて コ アに よ っ て使用 さ れます。
core_gt_drp_drdy
入力
core_gt_drp_interface
こ の 信号 は 外部 ア ー ビ タ ま た は
user_gt_drp_drdy に よ り 駆動 さ れ、 や
がて コ アに よ っ て使用 さ れます。
入力
user_gt_drp_interface
こ のベ ク タ ーは外部アー ビ タ ま たは
core_gt_drp_daddr に よ り 駆動 さ れ、や
が て ト ラ ン シーバーの DADDR ポー ト で使
用 さ れます。
入力
user_gt_drp_interface
こ の 信号 は 外部 ア ー ビ タ ま た は
core_gt_drp_den に よ り 駆動 さ れ、 やが
て ト ラ ン シーバーの DEN ポー ト で使用 さ
れます。
入力
user_gt_drp_interface
こ のベ ク タ ーは外部アー ビ タ ま たは
core_gt_drp_di に よ り 駆動 さ れ、 やが
て ト ラ ン シーバーの DI ポー ト で使用 さ れ
ます。
drp_daddr_iuser_gt_drp_daddr[1
5:0]
user_gt_drp_den
user_gt_drpdi[15:0]
user_gt_drp_dwe
入力
user_gt_drp_interface
こ の 信号 は 外部 ア ー ビ タ ま た は
core_gt_drp_dwe に よ り 駆動 さ れ、 やが
て ト ラ ン シーバーの DWE ポー ト で使用 さ
れます。
user_gt_drp_drpdo[15:0]
出力
user_gt_drp_interface
こ のベ ク タ ーは ト ラ ン シーバーの DO ポー
ト に よ り 駆動 さ れます。
user_gt_drp_drdy
出力
user_gt_drp_interface
こ の信号は ト ラ ン シーバーの DRDY ポー ト
に よ り 駆動 さ れます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
22
第 2 章 : 製品仕様
UltraScale アーキテ ク チ ャ
ユーザー ロ ジ ッ ク を ト ラ ン シーバーの DRP イ ン タ ーフ ェ イ ス に接続 し やす く す る ため、 コ ア ロ ジ ッ ク と ト ラ ン シー
バーの間の イ ン タ ーフ ェ イ ス は、 外部アービ タ ブ ロ ッ ク に接続可能な イ ン タ ーフ ェ イ ス にな っ てい ます。 ト ラ ン シー
バーの DRP への直接 イ ン タ ーフ ェ イ ス も 提供 さ れてい ます。
ユーザー ロ ジ ッ ク ま たはアービ タ が不要な場合は、 core_to_gt_drp を gt_drp イ ン タ ー フ ェ イ ス に直接接続で
き 、 ま た drp_req を drp_gnt に直接接続で き ます。
表 2‐17 : DRPイ ン タ ー フ ェ イ ス信号
信号名
drp_req
方向
イ ン ターフ ェ イス
説明
出力
なし
こ のア ク テ ィ ブ High の信号は、DRP へのア ク セ ス
を リ ク エ ス ト し 保持す る ため、 外部アービ タ に使
用で き ます。
drp_gnt
入力
なし
外部アービ タ に よ り DRP へのア ク セ ス が許可 さ れ
る と き 、 こ の信号を High に駆動す る 必要があ り ま
す。 外部アービ タ がない場合は、 こ れを drp_req
信号に直接接続 し ます。
core_to_gt_drp_daddr[15:0]
出力
core_to_gt_drp
こ のベ ク タ ーは コ ア に よ り 駆動 さ れ、 やがて ト ラ
ン シーバーの DADDR ポー ト で使用 さ れます。
core_to_gt_drp_den
出力
core_to_gt_drp
こ の 信号は コ ア に よ り 駆動 さ れ、 や が て ト ラ ン
シーバーの DEN ポー ト で使用 さ れます。
core_to_gt_drp_di[15:0]
出力
core_to_gt_drp
こ のベ ク タ ーは コ ア に よ り 駆動 さ れ、 やがて ト ラ
ン シーバーの DI ポー ト で使用 さ れます。
core_to_gt_drp_dwe
出力
core_to_gt_drp
こ の 信号は コ ア に よ り 駆動 さ れ、 や が て ト ラ ン
シーバーの DWE ポー ト で使用 さ れます。
core_to_gt_drp_do[15:0]
入力
core_to_gt_drp
こ のベ ク タ ーは外部 ア ー ビ タ ま た は gt_drp_do
に よ り 駆動 さ れ、 やがて コ アに よ っ て使用 さ れ ま
す。
core_to_gt_drp_drdy
入力
core_to_gt_drp
こ の信号は外部アービ タ ま たは gt_drp_drdy に
よ り 駆動 さ れ、 やがて コ アに よ っ て使用 さ れます。
gt_drp_daddr[15:0]
入力
gt_drp
こ のベ ク タ ーは外部アービ タ ま たは
core_to_gt_drp_daddr に よ り 駆動 さ れ、 やが
て ト ラ ン シーバーの DADDR ポー ト で使用 さ れま
す。
gt_drp_den
入力
gt_drp
こ の信号は外部アービ タ ま たは
core_to_gt_drp_den に よ り 駆動 さ れ、 やがて
ト ラ ン シーバーの DEN ポー ト で使用 さ れます。
gt_drp_di[15:0]
入力
gt_drp
こ のベ ク タ ーは外部アービ タ ま たは gt_drp_di
に よ り 駆動 さ れ、 やがて ト ラ ン シーバーの DI
ポー ト で使用 さ れます。
gt_drp_dwe
入力
gt_drp
こ の信号は外部アービ タ ま たは
core_to_gt_drp_dwe に よ り 駆動 さ れ、 やがて
ト ラ ン シーバーの DWE ポー ト で使用 さ れます。
gt_drp_do[15:0]
出力
gt_drp
こ のベ ク タ ーは ト ラ ン シーバーの DO ポー ト に よ
り 駆動 さ れます。
gt_drp_drdy
出力
gt_drp
こ の信号は ト ラ ン シーバーの DRDY ポー ト に よ り
駆動 さ れます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
23
第 2 章 : 製品仕様
PCS/PMA のその他のポー ト
表 2-18 にあ る 信号は、Zynq-7000、Virtex-7、Kintex-7、お よ び UltraScale アーキ テ ク チ ャ デバ イ ス を対象に し てい ます。
表 2‐18 : その他のポー ト
信号名
方向
説明
pcspma_status[7:0]
出力
ビ ッ ト 0 = PCS ブ ロ ッ ク ロ ッ ク 、 ビ ッ ト [7:6] は予約 さ れてい ます。
10GBASE-KR コ ア : ビ ッ ト 1 は FEC 信号 OK(1)、 ビ ッ ト 2 は
pmd_signal_detect ( ト レーニ ン グ完了)(2)、 ビ ッ ト 3 は AN 完了、 ビ ッ ト 4 は
AN イ ネーブル、 ビ ッ ト 5 は an_link_up(3)。すべてのビ ッ ト は表 3-1 で定義
さ れてい る よ う に コ ア ク ロ ッ ク に同期 し てい ます。
is_eval
出力
10GBASE-KR のみ : 評価 ラ イ セ ン ス が付与 さ れてい る コ アの場合、定数出
力 (つま り 1)
an_enable
入力
BASE-KR のみ : シ ミ ュ レーシ ョ ン中にオー ト ネ ゴ シエーシ ョ ン をデ ィ ス
エーブルにする ために使用。 通常は こ れを 1 に接続 し ます。 オプシ ョ ンの
オー ト ネ ゴ シエーシ ョ ン ブ ロ ッ ク のあ る コ アの場合のみ。
sim_speedup_control
入力
一部の タ イ マーを使っ て短縮する ため、 シ ミ ュ レーシ ョ ン中に こ の信号を
使用。詳細は、「シ ミ ュ レーシ ョ ンの ス ピー ド ア ッ プ」 を参照 し て く だ さ い。
1.
コ アに FEC が含ま れていて、 FEC が イ ネーブルにな っ てい る 場合、 こ の ビ ッ ト は FEC ブ ロ ッ ク ロ ッ ク 、 ト レーニ ン グ完了、
signal_detect、 an_link_up. と 等価です。 FEC が含ま れていない、 ま たは イ ネーブルでない場合、 こ の ビ ッ ト は ト レーニ
ン グ完了、 signal_detect、 そ し てan_link_up と 等価です。
2. こ れは ト レーニ ン グ完了お よ び signal_detect と 等価です。
3. オー ト ネ ゴ シエーシ ョ ン中に ト ラ ン シーバーの RX モー ド を切 り 替え る には、 後の 2 つの信号が コ アで必要です。 オプシ ョ ン
のオー ト ネ ゴ シエーシ ョ ン ブ ロ ッ ク が コ アに含ま れていない場合、あ る いは、含ま れていて も コ アの an_enable ピ ン (シ ミ ュ
レ ーシ ョ ン のみ) ま たは管理レ ジ ス タ 7.0.12 に よ り デ ィ ス エーブルにな っ てい る 場合は、 an_link_up ビ ッ ト 5) は定数 1 に、
ビ ッ ト 3 お よ び 4 は定数 0 に固定 さ れます。
シ ミ ュ レーシ ョ ンのス ピー ド ア ッ プ
イ ンプ リ メ ン テーシ ョ ンの前後で使用す る ため、 コ アで一部の タ イ マーを直接制御する 機能が提供 さ れてい ます。 短
い タ イ マー値を使用す る には、 GSR が Low にな り (通常はシ ミ ュ レ ーシ ョ ン で 100ns 経過 し た後)、 その後 High に
な っ て High の状態を保持す る ま で、 sim_speedup_control を Low に駆動 し ます。
シ ョ ー ト カ ッ ト ロ ジ ッ ク を自動的に削除する には、 イ ンプ リ メ ン テーシ ョ ンの最終段階の前に、 ポー ト を 0 ま たは 1
に接続 し ます。 こ れに よ り 、 最適化で こ の ロ ジ ッ ク が削除 さ れ る よ う にな り ます。
最終 イ ンプ リ メ ン テーシ ョ ンでポー ト の接続を解除 し てお く こ と が推奨 さ れますが、 デバ イ ス の ピ ンに接続 し た ま ま
に し てお く こ と も 可能です。 そのピ ンが Low か ら High へ と 駆動 さ れない限 り 、 こ れ ら の タ イ マーの ス ピー ド ア ッ プ
値が使用 さ れ る こ と はあ り ません。 その場合デザ イ ンで ラ ッ チに関 し て警告 メ ッ セージが複数表示 さ れ る はずです。
こ の制御で ス ピー ド ア ッ プ さ れ る タ イ マーは ト ラ ン シーバーの RX リ セ ッ ト タ イ マーです。 こ れは、 RXUSERRDY
のアサー ト を遅 ら せます (3 千 7 百万 UI か ら 5 万 UI へ と 低減)。 ま た、 BASE-KR コ アの場合は、 オー ト ネ ゴ シエー
シ ョ ンのブ レー ク リ ン ク タ イ マー値が、 約 67ms か ら た っ たの 6.4 s に低減 さ れます。
ト ラ ン シーバー デバ ッ グ ポー ト
コ ア カ ス タ マ イ ズ 中 に [Additional transceiver control and status ports] を 選択す る 場合、 表 2-19 に あ る ポ ー ト が、
Zynq-7000、 Virtex-7、 お よ び Kintex-7 デバ イ ス で使用で き ます。 UltraScale アーキ テ ク チ ャ デバ イ ス の場合は、 表 2-20
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
24
第 2 章 : 製品仕様
にポー ト が リ ス ト さ れてい ます。 詳細は、 該当す る ト ラ ン シーバー ユーザー ガ イ ド ま たは製品ガ イ ド を参照 し て く
だ さ い。
表 2‐19 : ト ラ ン シーバー デバ ッ グ信号
信号名
方向
説明
transceiver_debug_gt0_eyescanreset
入力
ア イ ス キ ャ ン リ セ ッ ト 制御
transceiver_debug_gt0_eyescantrigger
入力
ア イ ス キ ャ ン リ セ ッ ト 制御
transceiver_debug_gt0_rxcdrhold
入力
CDR 保持制御
transceiver_debug_gt0_txprbsforceerr
入力
1 つの TXPRBS エ ラ ーを発生 さ せる
transceiver_debug_gt0_txpolarity
入力
txn お よ び txp の極性の切 り 替え
transceiver_debug_gt0_rxpolarity
入力
rxn お よ び rxp の極性の切 り 替え
transceiver_debug_gt0_rxrate[2:0]
入力
RX レー ト 制御
transceiver_debug_gt0_txprecursor [4:0]
入力
TX プ リ カー ソ ル制御 (Base-R のみ)
transceiver_debug_gt0_txpostcursor [4:0]
入力
TX ポ ス ト カー ソ ル制御 (Base-R のみ)
transceiver_debug_gt0_txdiffctrl [3:0]
入力
TX 差動駆動制御 (Base-R のみ)
transceiver_debug_gt0_eyescandataerror
出力
ア イ ス キ ャ ン デー タ エ ラ ーの通知
transceiver_debug_gt0_txbufstatus[1:0]
出力
ト ラ ン シーバー TX バ ッ フ ァ ー ス テー タ ス
transceiver_debug_gt0_txpmareset
入力
ト ラ ン シーバー TX PMA ブ ロ ッ ク の リ セ ッ ト
transceiver_debug_gt0_rxpmareset
入力
ト ラ ン シーバー RX PMA ブ ロ ッ ク の リ セ ッ ト
transceiver_debug_gt0_txresetdone
出力
ト ラ ン シーバー TX 側か ら の通知
transceiver_debug_gt0_rxresetdone
出力
ト ラ ン シーバー RX 側か ら の通知
transceiver_debug_gt0_rxbufstatus[2:0]
出力
ト ラ ン シーバー RX バ ッ フ ァ ー ス テー タ ス の表示
transceiver_debug_gt0_rxdfelpmreset
入力
ト ラ ン シーバー イ コ ラ イ ザーの リ セ ッ ト 制御
transceiver_debug_gt0_rxprbserr
出力
ト ラ ン シーバー PRBS チ ェ ッ カーか ら の通知
transceiver_debug_gt0_dmonitorout[7:0](1)
出力
ト ラ ン シーバー デジ タ ル監視出力
出力
ト ラ ン シーバーか ら の通知 (GTHE2 ト ラ ン シーバー
のみ)
入力
ト ラ ン シーバー RX イ コ ラ イ ザー制御 (Base-R のみ)
transceiver_debug_gt0_rxpmaresetdone
transceiver_debug_gt0_rxlpmen
1. こ の出力は、 GTXE2 ト ラ ン シーバーの場合は 8 ビ ッ ト 幅、 GTHE2 ト ラ ン シーバーの場合は 15 ビ ッ ト 幅です。
表 2‐20 : ト ラ ン シーバー デバ ッ グ信号 ‐ UltraScale アーキテ ク チ ャ デバイ ス
信号名
方向
説明
transceiver_debug_gt_txpmareset
入力
ト ラ ン シーバー TX PMA ブ ロ ッ ク の リ セ ッ ト
transceiver_debug_gt_rxpmareset
入力
ト ラ ン シーバー RX PMA ブ ロ ッ ク の リ セ ッ ト
transceiver_debug_gt_txresetdone
出力
ト ラ ン シーバー TX 側か ら の通知
transceiver_debug_gt_rxresetdone
出力
ト ラ ン シーバー RX 側か ら の通知
transceiver_debug_gt_rxpmaresetdone
出力
ト ラ ン シーバーか ら の通知
transceiver_debug_gt_txbufstatus[1:0]
出力
ト ラ ン シーバー TX バ ッ フ ァ ー ス テー タ ス の表示
transceiver_debug_gt_rxbufstatus[2:0]
出力
ト ラ ン シーバー RX バ ッ フ ァ ー ス テー タ ス の表示
transceiver_debug_gt_rxrate[2:0]
入力
ト ラ ン シーバー RX レー ト 制御
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
25
第 2 章 : 製品仕様
表 2‐20 : ト ラ ン シーバー デバ ッ グ信号 ‐ UltraScale アーキテ ク チ ャ デバイ ス (続き)
信号名
方向
説明
transceiver_debug_gt_eyescantrigger
入力
ア イ ス キ ャ ン ト リ ガー制御
transceiver_debug_gt_eyescanreset
入力
ア イ ス キ ャ ン リ セ ッ ト 制御
transceiver_debug_gt_eyescandataerror
出力
ト ラ ン シーバー ア イ ス キ ャ ン デー タ エ ラ ーの通知
transceiver_debug_gt_rxpolarity
入力
ト ラ ン シーバー RX 極性制御
transceiver_debug_gt_txpolarity
入力
ト ラ ン シーバー TX 極性制御
transceiver_debug_gt_rxdfelpmreset
入力
ト ラ ン シーバー イ コ ラ イ ザー リ セ ッ ト 制御
transceiver_debug_gt_txprbsforceerr
入力
ト ラ ン シーバー PRBS 生成制御
transceiver_debug_gt_rxprbserr
出力
ト ラ ン シーバー PRBS チ ェ ッ カーか ら の通知
transceiver_debug_gt_rxcdrhold
入力
ト ラ ン シーバー RX CDR 制御
transceiver_debug_gt_dmonitorout[17:0]
出力
ト ラ ン シーバー デジ タ ル監視出力
transceiver_debug_gt_rxlpmen
入力
ト ラ ン シーバー RX イ コ ラ イ ザー制御 (Base-R のみ)
transceiver_debug_gt_txprecursor[4:0]
入力
ト ラ ン シーバー プ リ カー ソ ル制御 (Base-R のみ)
transceiver_debug_gt_txpostcursor[4:0]
入力
ト ラ ン シーバー ポ ス ト カー ソ ル制御 (Base-R のみ)
transceiver_debug_gt_txdiffctrl[3:0](1)
入力
ト ラ ン シーバー出力レベル制御 (Base-R のみ)
注記 :
1. こ の入力は、 GTHE3 ト ラ ン シーバーの場合は 4 ビ ッ ト 幅、 GTYE3 ト ラ ン シーバーの場合は 5 ビ ッ ト 幅です。
AXI4‐Lite 管理イ ン タ ー フ ェ イ ス ポー ト
コ アの コ ン フ ィ ギ ュ レーシ ョ ン、 統計ブ ロ ッ ク へのア ク セ ス、 MDIO レ ジ ス タ へのア ク セ ス、 お よ び割 り 込みブ ロ ッ
ク へのア ク セ ス は、 オプシ ョ ンであ る 管理 イ ン タ ーフ ェ イ ス、 イ ーサネ ッ ト デー タ パ ス か ら は独立 し た 32 ビ ッ ト の
AXI4-Lite イ ン タ ーフ ェ イ ス を介 し て提供で き ます。 表 2-21 は、 管理 イ ン タ ーフ ェ イ ス に関連付け ら れてい る ポー ト
を定義 し てい ます。
表 2‐21 : 管理イ ン タ ー フ ェ イ ス ポー ト の説明
名前
方向
説明
s_axi_aclk
入力
AXI4-Lite ク ロ ッ ク 。 範囲は 10MHz か ら 300MHz ま で。
s_axi_aresetn
入力
非同期ア ク テ ィ ブ Low リ セ ッ ト
s_axi_awaddr[10:0]
入力
書 き 込みア ド レ ス バ ス
s_axi_awvalid
入力
書 き 込みア ド レ ス有効
s_axi_awready
出力
書 き 込みア ド レ ス肯定応答
s_axi_wdata[31:0]
入力
書 き 込みデー タ バ ス
s_axi_wvalid
出力
書 き 込みデー タ 有効
s_axi_wready
出力
書 き 込みデー タ 肯定応答
s_axi_bresp[1:0]
出力
書 き 込み ト ラ ンザ ク シ ョ ン応答
s_axi_bvalid
出力
書 き 込み応答有効
s_axi_bready
入力
書 き 込み応答の肯定応答
s_axi_araddr[10:0]
入力
読み出 し ア ド レ ス バ ス
s_axi_arvalid
入力
読み出 し ア ド レ ス有効
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
26
第 2 章 : 製品仕様
表 2‐21 : 管理イ ン タ ー フ ェ イ ス ポー ト の説明 (続き)
名前
方向
説明
s_axi_arready
出力
読み出 し ア ド レ ス の肯定応答
s_axi_rdata[31:0]
出力
読み出 し デー タ 出力
s_axi_rresp[1:0]
出力
読み出 し デー タ 応答
s_axi_rvalid
出力
読み出 し デー タ /応答有効
s_axi_rready
入力
読み出 し デー タ 肯定応答
管理 イ ン タ ーフ ェ イ ス は コ ア を カ ス タ マ イ ズす る と き に使用 し ない よ う 設定す る こ と がで き ます。 その場合は、 代わ
り に送受信 コ ン フ ィ ギ ュ レーシ ョ ン ベ ク タ ーが使用 さ れます。
10G イ ーサネ ッ ト MAC コ ン フ ィ ギ ュ レーシ ョ ンおよびス テー タ
ス信号
オプシ ョ ンの管理 イ ン タ ーフ ェ イ ス が コ アで使用 さ れない場合は、 関連の コ ン フ ィ ギ ュ レーシ ョ ンお よ びス テー タ ス
信号は コ ン フ ィ ギ ュ レーシ ョ ン ベ ク タ ーお よ びス テー タ ス ベ ク タ ー信号に ま と め ら れます。 表 2-22 は コ ン フ ィ ギ ュ
レーシ ョ ンお よ びス テー タ ス信号を説明 し てい ます。 こ れ ら の信号のビ ッ ト マ ッ ピ ン グは表 2-23 お よ び表 2-24 で定
義 さ れてい ます。 各信号の詳細については、 コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ の表の該当箇所を参照 し て く だ さ い。
表 2‐22 : コ ン フ ィ ギ ュ レーシ ョ ンおよびス テー タ ス信号
名前
方向
説明
mac_tx_configuration_vector[79:0](1)
入力
ト ラ ン ス ミ ッ タ ーの コ ン フ ィ ギ ュ レーシ ョ ン信号
mac_rx_configuration_vector[79:0](2)
入力
レ シーバーの コ ン フ ィ ギ ュ レーシ ョ ン信号
mac_status_vector[1:0]
出力
コ アの ス テー タ ス信号
1. PFC が イ ネーブルの場合、 mac_tx_configuration_vector のバ ス幅は 367:0 にな り ます。
2. PFC が イ ネーブルの場合、 mac_rx_configuration_vector のバ ス幅は 95:0 にな り ます。
コ ン フ ィ ギ ュ レーシ ョ ン ベ ク タ ー信号はいつで も 変更で き ますが、リ セ ッ ト 信号 と フ ロ ー制御 コ ン フ ィ ギ ュ レーシ ョ
ン信号は例外です。 こ れ ら の信号は現在の フ レームが送信ま たは受信を完了す る ま で、 変更が反映 さ れません。
PFC が イ ネーブルにな っ てい る 場合のみ表 2-23 のビ ッ ト 367:80 が存在 し ます。
PFC が イ ネーブルにな っ てい る 場合のみ表 2-24 のビ ッ ト 95:80 が存在 し ます。
表 2‐23 : mac_tx_configuration_vector ビ ッ ト の定義
ビッ ト
説明(1)
367:352
レ ガシ一旦停止 リ フ レ ッ シ ュ 値。 PFC 機能が含まれてい る 場合は、 802.3 に準拠 し てい る フ ロ ー制御 ロ
ジ ッ ク に も XON/XOFF イ ン タ ーフ ェ イ ス と し て使用 さ れ る 機能があ り ます。 s_axis_pause_tvalid 入力が
アサー ト さ れ、 High に保持 さ れてい る 場合は、 一旦停止フ レーム が標準フ レーム と し て送信 さ れ、 入
力量子カ ウ ン ト が こ の値に達す る と 、 リ フ レ ッ シ ュ し ます。 一旦停止 リ ク エ ス ト がデ ィ アサー ト さ れ る
と 、 TX オー ト XIO 機能が イ ネーブルにな っ てい る 場合は XON フ レームが自動的に送信 さ れます。
351:336
Tx 優先度 7 の一旦停止量子 リ フ レ ッ シ ュ 値。 こ の優先度がア ク テ ィ ブで High に保持 さ れてい る 場合、
新 し い PFC フ レームが自動的に生成 さ れ る 量子カ ウ ン ト 値を提供 し ます。
335:320
Tx 優先度 7 の一旦停止量子。 こ の優先度が イ ネーブルにな っ ていて アサー ト さ れてい る 場合、 送信 さ
れた PFC フ レームに含まれ る 量子値を提供 し ます。
319:304
Tx 優先度 6 の一旦停止量子 リ フ レ ッ シ ュ 値。 こ の優先度がア ク テ ィ ブで High に保持 さ れてい る 場合、
新 し い PFC フ レームが自動的に生成 さ れ る 量子カ ウ ン ト 値を提供 し ます。
303:288
Tx 優先度 6 の一旦停止量子。 こ の優先度が イ ネーブルにな っ ていて アサー ト さ れてい る 場合、 送信 さ
れた PFC フ レームに含まれ る 量子値を提供 し ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
27
第 2 章 : 製品仕様
表 2‐23 : mac_tx_configuration_vector ビ ッ ト の定義 (続き)
ビッ ト
説明(1)
287:272
Tx 優先度 5 の一旦停止量子 リ フ レ ッ シ ュ 値。 こ の優先度がア ク テ ィ ブで High に保持 さ れてい る 場合、
新 し い PFC フ レームが自動的に生成 さ れ る 量子カ ウ ン ト 値を提供 し ます。
271:256
Tx 優先度 5 の一旦停止量子。 こ の優先度が イ ネーブルにな っ ていて アサー ト さ れてい る 場合、 送信 さ
れた PFC フ レームに含まれ る 量子値を提供 し ます。
255:240
Tx 優先度 4 の一旦停止量子 リ フ レ ッ シ ュ 値。 こ の優先度がア ク テ ィ ブで High に保持 さ れてい る 場合、
新 し い PFC フ レームが自動的に生成 さ れ る 量子カ ウ ン ト 値を提供 し ます。
239:224
Tx 優先度 4 の一旦停止量子。 こ の優先度が イ ネーブルにな っ ていて アサー ト さ れてい る 場合、 送信 さ
れた PFC フ レームに含まれ る 量子値を提供 し ます。
223:208
Tx 優先度 5 の一旦停止量子 リ フ レ ッ シ ュ 値。 こ の優先度がア ク テ ィ ブで High に保持 さ れてい る 場合、
新 し い PFC フ レームが自動的に生成 さ れ る 量子カ ウ ン ト 値を提供 し ます。
207:192
Tx 優先度 5 の一旦停止量子。 こ の優先度が イ ネーブルにな っ ていて アサー ト さ れてい る 場合、 送信 さ
れた PFC フ レームに含まれ る 量子値を提供 し ます。
191:176
Tx 優先度 2 の一旦停止量子 リ フ レ ッ シ ュ 値。 こ の優先度がア ク テ ィ ブで High に保持 さ れてい る 場合、
新 し い PFC フ レームが自動的に生成 さ れ る 量子カ ウ ン ト 値を提供 し ます。
175:160
Tx 優先度 2 の一旦停止量子。 こ の優先度が イ ネーブルにな っ ていて アサー ト さ れてい る 場合、 送信 さ
れた PFC フ レームに含まれ る 量子値を提供 し ます。
159:144
Tx 優先度 1 の一旦停止量子 リ フ レ ッ シ ュ 値。 こ の優先度がア ク テ ィ ブで High に保持 さ れてい る 場合、
新 し い PFC フ レームが自動的に生成 さ れ る 量子カ ウ ン ト 値を提供 し ます。
143:128
Tx 優先度 1 の一旦停止量子。 こ の優先度が イ ネーブルにな っ ていて アサー ト さ れてい る 場合、 送信 さ
れた PFC フ レームに含まれ る 量子値を提供 し ます。
127:112
Tx 優先度 0 の一旦停止量子 リ フ レ ッ シ ュ 値。 こ の優先度がア ク テ ィ ブで High に保持 さ れてい る 場合、
新 し い PFC フ レームが自動的に生成 さ れ る 量子カ ウ ン ト 値を提供 し ます。
111:96
Tx 優先度 0 の一旦停止量子。 こ の優先度が イ ネーブルにな っ ていて アサー ト さ れてい る 場合、 送信 さ
れた PFC フ レームに含まれ る 量子値を提供 し ます。
95
Tx 優先度 7 の フ ロ ー制御 イ ネ ー ブ ル。 こ れが設定 さ れ て い る 場合、 PFC フ レ ー ム を 生成す る た め
s_axis_tx_pfc_p7_tvalid が使用さ れます。
94
Tx 優先度 6 の フ ロ ー制御 イ ネ ー ブ ル。 こ れが設定 さ れ て い る 場合、 PFC フ レ ー ム を 生成す る た め
s_axis_tx_pfc_p6_tvalid が使用さ れます。
93
Tx 優先度 5 の フ ロ ー制御 イ ネ ー ブ ル。 こ れが設定 さ れ て い る 場合、 PFC フ レ ー ム を 生成す る た め
s_axis_tx_pfc_p5_tvalid が使用さ れます。
92
Tx 優先度 4 の フ ロ ー制御 イ ネ ー ブ ル。 こ れが設定 さ れ て い る 場合、 PFC フ レ ー ム を 生成す る た め
s_axis_tx_pfc_p4_tvalid が使用さ れます。
91
Tx 優先度 3 の フ ロ ー制御 イ ネ ー ブ ル。 こ れが設定 さ れ て い る 場合、 PFC フ レ ー ム を 生成す る た め
s_axis_tx_pfc_p3_tvalid が使用さ れます。
90
Tx 優先度 2 の フ ロ ー制御 イ ネ ー ブ ル。 こ れが設定 さ れ て い る 場合、 PFC フ レ ー ム を 生成す る た め
s_axis_tx_pfc_p2_tvalid が使用さ れます。
89
Tx 優先度 1 の フ ロ ー制御 イ ネ ー ブ ル。 こ れが設定 さ れ て い る 場合、 PFC フ レ ー ム を 生成す る た め
s_axis_tx_pfc_p1_tvalid が使用さ れます。
88
Tx 優先度 0 の フ ロ ー制御 イ ネ ー ブ ル。 こ れが設定 さ れ て い る 場合、 PFC フ レ ー ム を 生成す る た め
s_axis_tx_pfc_p0_tvalid が使用さ れます。
87:82
81
予約済み(2)
オー ト XON イ ネーブル。 関連づけ ら れてい る tvalid ま たは一旦停止 リ ク エ ス ト がデ ィ アサー ト さ れ る
と (少な く と も 1 ク ロ ッ ク サ イ ク ル アサー ト さ れてい る も の と する )、 関連量子がゼ ロ に設定 さ れた フ
ロ ー制御フ レーム を コ アは自動的に生成 し ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
28
第 2 章 : 製品仕様
表 2‐23 : mac_tx_configuration_vector ビ ッ ト の定義 (続き)
ビッ ト
説明(1)
80
優先フ ロ ー制御 イ ネーブル。 こ れが設定 さ れてい る 場合、 TX PFC 機能が イ ネーブルにな り ます。 ビ ッ
ト 5 で定義 さ れてい る 送信フ ロ ー制御 イ ネーブル と 同時に設定 し ないで く だ さ い。
79:32
ト ラ ン ス ミ ッ タ ー一旦停止フ レーム ソ ー ス ア ド レ ス [47:0]。 任意の出力フ ロ ー制御フ レーム の ソ ース
ア ド レ ス と し て こ のア ド レ ス は コ アが使用 し ます。
こ のア ド レ ス は、 コ アの メ イ ンの送信デー タ パ ス を通過す る フ レームには影響 し ません。
送信 ま たは受信 さ れた最初のバ イ ト が レ ジ ス タ の最下位バ イ ト にな る よ う にア ド レ ス は順序付け ら れ
ます。 た と えば、 AA-BB-CC-DD-EE-FF の MAC ア ド レ ス は 0xFFEEDDCCBBAA と し てバ イ ト [79:32]
に格納 さ れます。
31
30:16
予約済み。
TX MTU サ イ ズ。 TX MTU イ ネーブルが 1 に設定 さ れてい る 場合は、 「 ト ラ ン ス ミ ッ タ ーの フ レームの
長 さ の最大値」 で説明 さ れてい る 最大フ レーム サ イ ズ と し て こ の値が使用 さ れます。
15
予約済み。 (2)
14
TX MTU イ ネーブル。 こ のビ ッ ト が 1 に設定 さ れてい る 場合は、 「 ト ラ ン ス ミ ッ タ ーの フ レームの長 さ
の最大値」 で説明 さ れてい る 最大フ レーム サ イ ズ と し て TX MTU サ イ ズの値が使用 さ れます。 0 に設
定 さ れてい る と 、 フ レーム処理は こ れ以外の コ ン フ ィ ギ ュ レーシ ョ ン設定に基づいて行われます。
13:11
予約済み。 (2)
10
DIC (Deficit Idle Count) イ ネーブル。 こ のビ ッ ト が 1 に設定 さ れてい る 場合は、 最大デー タ 伝送レー ト
をサポー ト す る ため、IEEE 規格 802.3-2012 [参照 1]の 46.3.1.4 節のオプシ ョ ン 2 で説明 さ れてい る よ う
に、 コ アは IFG を短 く し ます。
こ の ビ ッ ト が 0 に設定 さ れてい る 場合は、 開始ア ラ イ メ ン ト を維持す る ため、 コ アは IFG を常に引 き
延ば し ます。
LAN モー ド お よ び イ ンバン ド FCS の両方が イ ネーブルにな っ てい る 場合、 ま たは IFG 調整が イ ネーブ
ルにな っ てい る 場合、 こ のビ ッ ト は ク リ ア さ れ影響はあ り ません。
9
ト ラ ン ス ミ ッ タ ー LAN/WAN モー ド 。 こ の ビ ッ ト が 1 に設定 さ れてい る 場合は、 平均デー タ レー ト を
OC-192 SONET ペ イ ロ ー ド レー ト (WAN モー ド ) の レー ト に下げ る ため、 ト ラ ン ス ミ ッ タ ーが自動的に
IFG に IDLE を挿入 し ます。 こ のビ ッ ト が 0 に設定 さ れてい る 場合は、 ト ラ ン ス ミ ッ タ ーが標準 イ ーサ
ネ ッ ト IFG (LAN モー ド ) を使用 し ます。
8
ト ラ ン ス ミ ッ タ ー IFG 調整 イ ネーブル。 こ の ビ ッ ト が 1 に設定 さ れてい る 場合は、 ト ラ ン ス ミ ッ タ ー
が tx_ifg_delay ポー ト の値を読み出 し 、 IFG を それに応 じ て設定 し ます。 こ のビ ッ ト が 0 に設定 さ れて
い る 場合は、 ト ラ ン ス ミ ッ タ ーが最小 IFG を挿入 し ます。
ビ ッ ト [53] ( ト ラ ン ス ミ ッ タ ー LAN/WAN モー ド ) が 1 に設定 さ れてい る 場合は、 こ の ビ ッ ト は無視 さ
れます。
7
ト ラ ン ス ミ ッ タ ー保持プ リ ア ン ブル イ ネーブル。 こ の ビ ッ ト が 1 に設定 さ れてい る 場合は、 コ アの ト
ラ ン ス ミ ッ タ ーが ク ラ イ ア ン ト イ ン タ ーフ ェ イ ス に出力 さ れてい る カ ス タ ム プ リ ア ン ブル フ ィ ール
ド を保持 し ます。 こ のビ ッ ト が 0 に設定 さ れてい る 場合は、 IEEE 規格 802.3-2012 で指定 さ れてい る 標
準プ リ ア ンブル フ ィ ール ド が送信 さ れます。
6
予約済み。 (2)
5
送信フ ロ ー制御 イ ネーブル。 こ の ビ ッ ト が 1 に設定 さ れてい る 場合は、 pause_req 信号を アサー ト す る
と 、 「一旦停止制御フ レームの送信」 で説明 さ れてい る よ う に ト ラ ン ス ミ ッ タ ーか ら コ アが フ ロ ー制御
フ レーム を送信 し ます。 こ のビ ッ ト が 0 に設定 さ れてい る 場合は、 pause_req 信号を アサー ト し て も 影
響はあ り ません。
4
ト ラ ン ス ミ ッ タ ー ジ ャ ン ボ フ レーム イ ネーブル。 こ のビ ッ ト が 1 に設定 さ れてい る 場合は、 IEEE 規
格 802.3-2012 [参照 1]で指定 さ れてい る 長 さ が最大値を超え る フ レ ーム が コ ア ト ラ ン ス ミ ッ タ ーが送
信で き る よ う にな り ます。 こ の ビ ッ ト が 0 に設定 さ れてい る 場合は、 コ ア ト ラ ン ス ミ ッ タ ーはフ レー
ムの長 さ が最大値以下の フ レーム し か送信で き ません。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
29
第 2 章 : 製品仕様
表 2‐23 : mac_tx_configuration_vector ビ ッ ト の定義 (続き)
ビッ ト
説明(1)
3
ト ラ ン ス ミ ッ タ ー イ ンバン ド FCS イ ネーブル。 こ の ビ ッ ト が 1 に設定 さ れてい る 場合は、 「 イ ンバン ド
FCS を渡す送信」 で説明 さ れてい る よ う に、 ク ラ イ ア ン ト がFCS フ ィ ール ド をパ スす る も の と コ ア ト
ラ ン ス ミ ッ タ ーが想定 し ます。 こ のビ ッ ト が 0 に設定 さ れてい る 場合は、 コ ア ト ラ ン ス ミ ッ タ ーが必
要に応 じ てパ ッ ド を追加 し 、 FCS を計算 し 、 それを フ レームに追加 し ます。
2
ト ラ ン ス ミ ッ タ ー VLAN イ ネーブル。 こ の ビ ッ ト が 1 に設定 さ れてい る 場合は、 ト ラ ン ス ミ ッ タ ーが、
VLAN タ グ フ レームに対 し 、 デフ ォ ル ト の最大フ レーム サ イ ズ を 1522 に増や し 、 VLAN タ グ フ レー
ム を送信 し ます。 こ のビ ッ ト が 0 に設定 さ れてい る 場合は、 VLAN タ グ フ レームは統計にカ ウ ン ト さ
れず、 デフ ォ ル ト の最大フ レーム サ イ ズは 1518 の ま ま にな り ます。
1
ト ラ ン ス ミ ッ タ ー イ ネーブル。 こ のビ ッ ト が 1 に設定 さ れてい る 場合は、 ト ラ ン ス ミ ッ タ ーは動作 し
てい ます。 こ のビ ッ ト が 0 に設定 さ れてい る 場合は、 ト ラ ン ス ミ ッ タ ーはデ ィ ス エーブルにな り ます。
0
ト ラ ン ス ミ ッ タ ー リ セ ッ ト 。こ の ビ ッ ト が 1 に設定 さ れてい る 場合は、コ ア ト ラ ン ス ミ ッ タ ーが リ セ ッ
ト に保持 さ れます。
こ の信号は、 ト ラ ン ス ミ ッ タ ー ブ ロ ッ ク の リ セ ッ ト 回路への入力信号です。 詳細は、 「 リ セ ッ ト 」 を参
照 し て く だ さ い。
1. すべての信号は clk156 に同期 し てい ます。
2. 予約 さ れてい る 信号を 0 に し ます。
表 2‐24 : rx_configuration_vector ビ ッ ト の定義
ビッ ト
説明(1)
95
Rx 優先度 7 の フ ロ ー制御 イ ネーブル。 こ れが設定 さ れてい る 場合、 優先度 7 で、 受信 さ れた、 エ ラ ーな
し の PFC フ レーム が m_axis_rx_pfc_p7_tvalid 出力を リ ク エ ス ト さ れた期間アサー ト し ます。 こ れを受け
て、 新 し い RX PFC フ レームが常に こ れを リ フ レ ッ シ ュ ま たはキ ャ ン セル し ます。
94
Rx 優先度 6 の フ ロ ー制御 イ ネーブル。 こ れが設定 さ れてい る 場合、 優先度 6 で、 受信 さ れた、 エ ラ ーな
し の PFC フ レーム が m_axis_rx_pfc_p6_tvalid 出力を リ ク エ ス ト さ れた期間アサー ト し ます。 こ れを受け
て、 新 し い RX PFC フ レームが常に こ れを リ フ レ ッ シ ュ ま たはキ ャ ン セル し ます。
93
Rx 優先度 5 の フ ロ ー制御 イ ネーブル。 こ れが設定 さ れてい る 場合、 優先度 5 で、 受信 さ れた、 エ ラ ーな
し の PFC フ レーム が m_axis_rx_pfc_p5_tvalid 出力を リ ク エ ス ト さ れた期間アサー ト し ます。 こ れを受け
て、 新 し い RX PFC フ レームが常に こ れを リ フ レ ッ シ ュ ま たはキ ャ ン セル し ます。
92
Rx 優先度 4 の フ ロ ー制御 イ ネーブル。 こ れが設定 さ れてい る 場合、 優先度 4 で、 受信 さ れた、 エ ラ ーな
し の PFC フ レーム が m_axis_rx_pfc_p4_tvalid 出力を リ ク エ ス ト さ れた期間アサー ト し ます。 こ れを受け
て、 新 し い RX PFC フ レームが常に こ れを リ フ レ ッ シ ュ ま たはキ ャ ン セル し ます。
91
Rx 優先度 3 の フ ロ ー制御 イ ネーブル。 こ れが設定 さ れてい る 場合、 優先度 3 で、 受信 さ れた、 エ ラ ーな
し の PFC フ レーム が m_axis_rx_pfc_p3_tvalid 出力を リ ク エ ス ト さ れた期間アサー ト し ます。 こ れを受け
て、 新 し い RX PFC フ レームが常に こ れを リ フ レ ッ シ ュ ま たはキ ャ ン セル し ます。
90
Rx 優先度 2 の フ ロ ー制御 イ ネーブル。 こ れが設定 さ れてい る 場合、 優先度 2 で、 受信 さ れた、 エ ラ ーな
し の PFC フ レーム が m_axis_rx_pfc_p2_tvalid 出力を リ ク エ ス ト さ れた期間アサー ト し ます。 こ れを受け
て、 新 し い RX PFC フ レームが常に こ れを リ フ レ ッ シ ュ ま たはキ ャ ン セル し ます。
89
Rx 優先度 1 の フ ロ ー制御 イ ネーブル。 こ れが設定 さ れてい る 場合、 優先度 1 で、 受信 さ れた、 エ ラ ーな
し の PFC フ レーム が m_axis_rx_pfc_p1_tvalid 出力を リ ク エ ス ト さ れた期間アサー ト し ます。 こ れを受け
て、 新 し い RX PFC フ レームが常に こ れを リ フ レ ッ シ ュ ま たはキ ャ ン セル し ます。
88
Rx 優先度 0 の フ ロ ー制御 イ ネーブル。 こ れが設定 さ れてい る 場合、 優先度 0 で、 受信 さ れた、 エ ラ ーな
し の PFC フ レーム が m_axis_rx_pfc_p0_tvalid 出力を リ ク エ ス ト さ れた期間アサー ト し ます。 こ れを受け
て、 新 し い RX PFC フ レームが常に こ れを リ フ レ ッ シ ュ ま たはキ ャ ン セル し ます。
87:81
予約済み。 (2)
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
30
第 2 章 : 製品仕様
表 2‐24 : rx_configuration_vector ビ ッ ト の定義 (続き)
ビッ ト
説明(1)
80
優先フ ロ ー制御 イ ネーブル。 こ れが設定 さ れてい る 場合、 RX PFC 機能が イ ネーブルにな り 、 任意の受信
さ れた PFC フ レームは ク ラ イ ア ン ト イ ン タ ーフ ェ イ ス で不正 と さ れます。 こ れが 0 に設定 さ れてい る 場
合は、 PFC フ レームは無視 さ れ、 ク ラ イ ア ン ト イ ン タ ーフ ェ イ ス で有効 と さ れます。 ビ ッ ト 5 で定義 さ
れてい る 受信フ ロ ー制御 イ ネーブル と 同時に設定 し ないで く だ さ い。
79:32
レ シーバー一旦停止フ レーム ソ ース ア ド レ ス [47:0]。任意の入力フ ロ ー制御フ レームのデス テ ィ ネーシ ョ
ン ア ド レ ス に一致 さ せ る ため、 こ のア ド レ ス は コ アが使用 し ます。
こ のア ド レ ス は、 コ アの メ イ ンの受信デー タ パ ス を通過す る フ レームには影響 し ません。
送信 ま たは受信 さ れた最初のバ イ ト が レ ジ ス タ の最下位バ イ ト にな る よ う にア ド レ ス は順序付け ら れ ま
す。 た と えば、 AA-BB-CC-DD-EE-FF の MAC ア ド レ ス は 0xFFEEDDCCBBAA と し てバ イ ト [47:0] に格納
さ れます。
31
30:16
予約済み。 (2)
RX MTU サ イ ズ。 RX MTU イ ネーブルが 1 に設定 さ れてい る 場合は、 「レ シーバーの フ レームの長 さ の最
大値」 で説明 さ れてい る 最大フ レーム サ イ ズ と し て こ の値が使用 さ れます。
15
予約済み。 (2)
14
RX MTU イ ネーブル。 こ の ビ ッ ト が 1 に設定 さ れてい る 場合は、「レ シーバーの フ レームの長 さ の最大値」
で説明 さ れてい る 最大フ レーム サ イ ズ と し て RX MTU サ イ ズの値が使用 さ れます。 0 に設定 さ れてい る
と 、 フ レーム処理は こ れ以外の コ ン フ ィ ギ ュ レーシ ョ ン設定に基づいて行われます。
13:11
予約済み。 (2)
10
リ コ ン シ リ エーシ ョ ン サブ レ イ ヤー フ ォ ル ト 禁止。 こ のビ ッ ト が 0 に設定 さ れてい る 場合、 リ コ ン シ リ
エーシ ョ ン サブ レ イ ヤー (RS) が IEEE 規格 802.3-2012[参照 1] で規定 さ れてい る 順序セ ッ ト を送信 し ま
す。 つま り 、 RS が ロ ーカル フ ォ ル ト の順序セ ッ ト を受信 し てい る と き 、 RS は リ モー ト フ ォ ル ト の順序
セ ッ ト を送信 し ます。 リ モー ト フ ォ ル ト の順序セ ッ ト を受信 し てい る と き は、 IDLE コ ー ド ワー ド を送信
し ます。
こ のビ ッ ト が 1 に設定 さ れてい る 場合は、フ ォ ル ト 順序セ ッ ト が受信 さ れてい る か ど う かに関係な く 、RS
は常に コ アか ら 出力 さ れたデー タ を送信 し ます。
9
制御フ レーム長 さ チ ェ ッ ク デ ィ ス エーブル。 こ の ビ ッ ト が 1 に設定 さ れてい る 場合は、 制御フ レームの
長 さ が最小値 よ り も 大 き い と 、 コ アはフ レーム を不正だ と みな し ません。
8
レ シーバーの長 さ / タ イ プ エ ラ ー チ ェ ッ ク デ ィ ス エーブル。 こ の ビ ッ ト が 1 に設定 さ れてい る 場合は、
「長 さ / タ イ プ フ ィ ール ド のエ ラ ー チ ェ ッ ク 」 で説明 さ れてい る よ う に、 コ アは長 さ / タ イ プ フ ィ ール ド の
エ ラ ー チ ェ ッ ク を実行 し ません。 こ のビ ッ ト が 0 に設定 さ れてい る 場合は、 長 さ / タ イ プ フ ィ ール ド のエ
ラ ー チ ェ ッ ク を実行 し ます。 こ れが標準操作です。
7
レ シーバー保持プ リ ア ンブル イ ネーブル。 こ のビ ッ ト が 1 に設定 さ れてい る 場合は、 コ アの レ シーバー
が受信フ レームのプ リ ア ンブル フ ィ ール ド を保持 し ます。こ のビ ッ ト が 0 に設定 さ れてい る 場合は、IEEE
規格 802.3-2012 に規定 さ れてい る よ う に、 プ リ ア ンブル フ ィ ール ド は破棄 さ れます。
6
予約済み。 (2)
5
受信フ ロ ー制御 イ ネーブル。 こ のビ ッ ト が 1 に設定 さ れてい る 場合は、 受信フ ロ ー制御フ レームが 「一旦
停止制御フ レームの送信」 で説明 さ れてい る よ う に ト ラ ン ス ミ ッ タ ー操作を禁止 し ます。 こ のビ ッ ト が 0
に設定 さ れてい る 場合は、 受信フ ロ ー制御フ レームは ク ラ イ ア ン ト に渡 さ れます。
4
レ シーバー ジ ャ ン ボ フ レーム イ ネーブル。こ のビ ッ ト が 0 に設定 さ れてい る 場合は、IEEE 規格 802.3-2012
[参照 1]で指定 さ れてい る 長 さ が最大値を超え る フ レーム を レ シーバーは渡 し ません。 こ のビ ッ ト が 1 に
設定 さ れてい る 場合は、 レ シーバーにはフ レーム サ イ ズの上限があ り ません。
3
レ シーバー イ ンバン ド FCS イ ネーブル。 こ のビ ッ ト が 1 に設定 さ れてい る 場合は、 「 イ ンバン ド FCS を
渡す受信」 で説明 さ れてい る よ う に、 コ ア レ シーバーは ク ラ イ ア ン ト に FCS フ ィ ール ド を渡 し ます。 こ
のビ ッ ト が 0 に設定 さ れてい る 場合は、 コ ア レ シーバーは FCS フ ィ ール ド を渡 し ません。 ど ち ら の場合
で も 、 各フ レームで FCS フ ィ ール ド が検証 さ れます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
31
第 2 章 : 製品仕様
表 2‐24 : rx_configuration_vector ビ ッ ト の定義 (続き)
ビッ ト
説明(1)
2
レ シーバー VLAN イ ネーブル。 こ の ビ ッ ト が 1 に設定 さ れてい る 場合は、 VLAN タ グ フ レームに対 し 、
レ シーバーがデフ ォ ル ト の最大フ レーム サ イ ズ を 1522 に増や し 、 VLAN タ グ フ レーム を受信 し ます。 こ
の ビ ッ ト が 0 に設定 さ れてい る 場合は、 VLAN タ グ フ レームは統計にカ ウ ン ト さ れず、 デフ ォ ル ト の最
大フ レーム サ イ ズは 1518 の ま ま にな り ます。
1
レ シーバー イ ネーブル。 こ のビ ッ ト が 1 に設定 さ れてい る 場合は、 レ シーバーは動作 し てい ます。 こ の
ビ ッ ト が 0 に設定 さ れてい る 場合は、 レ シーバーはデ ィ ス エーブルにな り ます。
0
レ シーバー リ セ ッ ト 。 こ のビ ッ ト が 1 に設定 さ れてい る 場合は、 コ ア レ シーバーが リ セ ッ ト に保持 さ れ
ます。
こ の信号は、 レ シーバー ブ ロ ッ ク の リ セ ッ ト 回路への入力信号です。 詳細は、 「 リ セ ッ ト 」 を参照 し て く
だ さ い。
1. すべての信号は clk156 に同期 し てい ます。
2. 予約 さ れてい る 信号を 0 に し ます。
表 2‐25 : status_vector ビ ッ ト の定義
ビッ ト
説明(1)
1
リ モー ト フ ォ ル ト 受信。 こ のビ ッ ト が 1 に設定 さ れてい る 場合は、 RS が リ モー ト フ ォ ル ト シーケ ン ス順
序セ ッ ト を受信 し てい ます。 読み出 し 専用
0
ロ ーカル フ ォ ル ト 受信。 こ のビ ッ ト が 1 に設定 さ れてい る 場合は、 RS が ロ ーカル フ ォ ル ト シーケ ン ス順
序セ ッ ト を受信 し てい ます。 読み出 し 専用
1. すべての信号は clk156 に同期 し てい ます。
統計ベ ク タ ー信号
「統計カ ウ ン タ ー」 で説明 さ れてい る 統計カ ウ ン タ ーだけでな く 、 コ ア ス テー ト を知 ら せ る のに使用 さ れ る 統計ベ ク
タ ー出力が 2 つ コ アにあ り ます。 こ れ ら の信号については、 表 2-26 を参照 し て く だ さ い。
表 2‐26 : 統計ベ ク タ ー信号
名前
方向
説明
tx_statistics_vector[25:0](1)
出力
送信 さ れた フ レームに対 し 集計 さ れた統計フ ラ グ。
tx_statistics_valid
出力
tx_statistics_vector に対 し て有効な ス ト ロ ーブです。 詳細は、
「送信統計ベ ク タ ー」 を参照 し て く だ さ い。
rx_statistics_vector[29:0](2)
出力
受信 さ れた フ レームに対 し 集計 さ れた統計フ ラ グ。
rx_statistics_valid
出力
rx_statistics_vector に対 し て有効な ス ト ロ ーブです。 詳細は、
「受信統計ベ ク タ ー」 を参照 し て く だ さ い。
1. PFC が イ ネーブルの場合、 tx_statistics_vector のバ ス幅は 26:0 にな り ます。
2. PFC が イ ネーブルの場合、 rx_statistics_vector のバス幅は 30:0 にな り ます。
送信統計ベ ク タ ー
送信 さ れ た フ レ ー ム の 統計値は tx_statistics_vector に含 ま れ て い ま す。 ベ ク タ ーは ト ラ ン ス ミ ッ タ ー ク ロ ッ ク 、
clk156 に同期 し ていて、 後に続 く フ レーム伝送を駆動 し ます。 ベ ク タ ーの ビ ッ ト フ ィ ール ド 定義は表 2-27 で定義
さ れてい ます。 tx_statistics_valid がアサー ト さ れ る と き のみ、 byte_valid を除 く 、 すべてのビ ッ ト フ ィ ール ド が有効に
な り ます。 こ れは図 2-4 で説明 さ れてい ます。 byte_valid は ど の clk156 サ イ ク ルで も 有効です。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
32
第 2 章 : 製品仕様
X-Ref Target - Figure 2-4
W[BFON
W[BVWDWLVWLFVBYDOLG
W[BVWDWLVWLFVBYHFWRU>@
図 2‐4 : ト ラ ン ス ミ ッ タ ー統計出力 タ イ ミ ン グ
表 2‐27 : 送信統計ベ ク タ ー ビ ッ ト の定義
ビッ ト
名前
説明
26
pfc_frame_transmitted
PFC 機能が イ ネーブルにな っ てい る と き に含 ま れ る 追加ベ ク タ ー ビ ッ ト 。
コ アが PFC フ レーム を生成 し 、 送信 し た こ と を示 し ます。
25
pause_frame_transmitted
前のフ レームが、 pause_req がアサー ト さ れた こ と を受けて コ アが開始 し た
一旦停止フ レームであ る 場合にアサー ト さ れます。
24:21
bytes_valid
最終 ク ロ ッ ク サ イ ク ルで送信 さ れ る MAC フ レーム バ イ ト 数 (DA か ら FCS
ま でで、 FCS も 含む)。 有効な値は 0 か ら 8 ま でです。 こ れはすべて の ク
ロ ッ ク サ イ ク ルで有効であ り 、 tx_statistics_valid に よ っ て検証 さ れません。
bytes_valid フ ィ ール ド の情報は、 ほかの tx_statistics_vector ビ ッ ト と は異な
る ト ラ ン ス ミ ッ タ ー パ イ プ ラ イ ンのポ イ ン ト でサンプル さ れます。
20
vlan_frame
長 さ / タ イ プ フ ィ ール ド に VLAN ID が前の フ レームに含まれていて、 ト ラ
ン ス ミ ッ タ ー VLAN 操作が イ ネーブルにな っ てい る と アサー ト さ れます。
frame_length_count
前に送信 さ れた フ レームの長 さ (バ イ ト ) こ の値 よ り も 長いジ ャ ン ボ フ レー
ムの場合、 カ ウ ン ト は 32,767 の ま ま にな り ます。 それ以外の場合は、 PHY
イ ン タ ー フ ェ イ ス に フ レ ー ム の サ イ ズ を 出力 し ま す (パデ ィ ン グ お よ び
FCS フ ィ ール ド も 含む)。
4
control_frame
前のフ レームの長 さ / タ イ プ フ ィ ール ド に特別な MAC 制御 タ イ プ コ ー ド が
含まれてい る と アサー ト さ れます。
3
underrun_frame
前のフ レームの送信がア ン ダー ラ ン エ ラ ーが発生 し たため中断 さ れ る と ア
サー ト さ れます。
2
multicast_frame
前の フ レ ーム のデ ス テ ィ ネーシ ョ ン ア ド レ ス フ ィ ール ド にマルチ キ ャ ス
ト ア ド レ ス が含まれてい る 場合にアサー ト さ れます。
1
broadcast_frame
前の フ レ ーム のデ ス テ ィ ネーシ ョ ン ア ド レ ス フ ィ ール ド にブ ロ ー ド キ ャ
ス ト ア ド レ ス が含まれてい る 場合にアサー ト さ れます。
0
successful_frame
前のフ レームがエ ラ ーな し に送信 さ れ る と アサー ト さ れます。
19:5
受信統計ベ ク タ ー
受信 さ れた フ レーム の統計値は rx_statistics_vector に含ま れてい ま す。 ベ ク タ ーは、 フ レーム を受信 し た後に受信 ク
ロ ッ ク 、 rx_clk0に よ り 同期 し て駆動 さ れます。 ベ ク タ ーのビ ッ ト フ ィ ール ド 定義は表 2-28 で定義 さ れてい ます。
rx_statistics_valid がアサー ト さ れ る と き のみ、 bytes_valid を除 く 、 すべてのビ ッ ト フ ィ ール ド が有効にな り ます。 こ
れは図 2-5 で説明 さ れてい ます。 byte_valid は ど の clk156 サ イ ク ルで も 有効です。
ど の 受信 フ レ ー ム に 対 し て も 、 対応 す る m_axis_rx_tlast ア サ ー ト と と も に、 ま た は そ の ま ア サ ー ト 前 に
rx_statistics_valid は High にな り ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
33
第 2 章 : 製品仕様
X-Ref Target - Figure 2-5
U[BFON
U[BVWDWLVWLFVBYDOLG
U[BVWDWLVWLFVBYHFWRU>@
図 2‐5 : レ シーバー統計出力 タ イ ミ ン グ
表 2‐28 : 受信統計ベ ク タ ーの説明
ビッ ト
名前
説明
pfc_frame
PFC 機能が イ ネーブルにな っ てい る と き に含 ま れ る 追加ベ ク タ ー ビ ッ ト 。 コ ア
が有効な PFC フ レーム を受信 し た こ と を示 し ます。
29
Length/Type Out
of Range
受信 し た MAC ク ラ イ ア ン ト デー タ バ イ ト 数 と 一致 し な い値が、 長 さ / タ イ プ
フ ィ ール ド に含まれてい る と アサー ト さ れます。 ま た、 フ レームにパ ッ ド があ る
が、 受信 し た ク ラ イ ア ン ト デー タ バ イ ト 数が 64 バ イ ト (フ レーム サ イ ズの最小
値) に等 し く ない場合に、 High にな り ます。 こ のチ ェ ッ ク は VLAN タ グ フ レー
ムでは実行 さ れません。
28
bad_opcode
前の フ レームにエ ラ ーがな く 、 長 さ / タ イ プ フ ィ ール ド に特別な制御フ レーム ID
が含まれてい る が、 コ アでサポー ト さ れていない opcode が含まれてい る (一旦停
止以外の opcode すべて) 場合にアサー ト さ れます。
flow_control_frame
前の フ レーム にエ ラ ーがな く 、 長 さ / タ イ プ フ ィ ール ド に制御フ レーム ID 88-08
が含まれていて、 MAC 制御マルチキ ャ ス ト ア ド レ ス ま たは コ ン フ ィ ギ ュ レー ト
さ れた コ アの ソ ース ア ド レ ス に一致 し たデス テ ィ ネーシ ョ ン ア ド レ ス が含ま れ
ていて、 一旦停止 opcode が含 ま れて、 コ アがそれに基づいた処理を実行 し てい
る場合に、 アサー ト さ れます。
26:23
bytes_valid
最終 ク ロ ッ ク サ イ ク ルで受信 さ れ る MAC フ レーム バ イ ト 数 (DA か ら FCS ま で
で、 FCS も 含む)。 有効な値は 0 か ら 8 ま でです。 こ れはすべての ク ロ ッ ク サ イ
ク ルで有効であ り 、 rx_statistics_valid に よ っ て検証 さ れません。
bytes_valid フ ィ ール ド の情報は、ほかの rx_statistics_vector ビ ッ ト と は異な る ト ラ
ン ス ミ ッ タ ー パ イ プ ラ イ ンのポ イ ン ト でサンプル さ れます。
22
vlan_frame
長 さ / タ イ プ フ ィ ール ド に VLAN タ グ が前の フ レ ーム に含 ま れてい て、 レ シー
バーで VLAN 操作が イ ネーブルにな っ てい る と アサー ト さ れます。
21
out_of_bounds
「レ シーバーの フ レームの長 さ の最大値」 で規定 さ れてい る 最大フ レーム サ イ ズ
を前の フ レームが越え る と 、 アサー ト さ れます。 ジ ャ ン ボ フ レームがデ ィ ス エー
ブルにな っ てい る 場合にのみアサー ト さ れます。
20
control_frame
前の フ レームの長 さ / タ イ プ フ ィ ール ド に MAC 制御 タ イ プ コ ー ド が含まれてい
る と アサー ト さ れます。
frame_length_count
受信 し た前の フ レームの長 さ (バ イ ト 数)。こ の値 よ り も 長いジ ャ ン ボ フ レームの
場合、 カ ウ ン ト は 32,767 の ま ま にな り ます。
4
multicast_frame
前の フ レームのデス テ ィ ネーシ ョ ン ア ド レ ス フ ィ ール ド にマルチキ ャ ス ト ア ド
レ ス が含まれてい る 場合にアサー ト さ れます。
3
broadcast_frame
前の フ レームのデス テ ィ ネーシ ョ ン ア ド レ ス フ ィ ール ド にブ ロ ー ド キ ャ ス ト ア
ド レ ス が含まれてい る 場合にアサー ト さ れます。
2
fcs_error
受信 し た前の フ レーム を受信中に、 その フ レーム に間違っ た FCS 値が含ま れて
い る 、 ま たは コ アがエ ラ ー コ ー ド を検出 し た場合にアサー ト さ れます。
30
27
19:5
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
34
第 2 章 : 製品仕様
表 2‐28 : 受信統計ベ ク タ ーの説明 (続き)
ビッ ト
名前
説明
1
bad_frame
受信 し た前の フ レームにエ ラ ーがあ る と アサー ト さ れます。
0
good_frame
受信 し た前の フ レームにエ ラ ーがない場合アサー ト さ れます。
PCS/PMA コ ン フ ィ ギ ュ レーシ ョ ンおよびス テー タ ス信号
MDIO イ ン タ ー フ ェ イ ス な し で 0GBASE-R/KR コ ア が生成 さ れてい る 場合、 主な コ ン フ ィ ギ ュ レ ーシ ョ ンお よ び ス
テー タ ス情報は、 表 2-29 で説明 さ れてい る よ う に、 単純な ビ ッ ト ベ ク タ ーで伝え ら れます。 コ ン フ ィ ギ ュ レーシ ョ
ンお よ びス テー タ ス、 ど ち ら のベ ク タ ー も 完全に移植 さ れ る わけではないので、 実際に必要な ピ ン数は、 ベ ク タ ー幅
の最大値 よ り も かな り 少な く な り ます。 ス テー タ ス ベ ク タ ーに関 し ては、 関連の IEEE レ ジ ス タ のすべてのビ ッ ト に
対 し て正 し いデフ ォ ル ト 値があ り ます。 表 2-30 か ら 表 2-33 ま で を参照 し て く だ さ い。
表 2‐29 : コ ン フ ィ ギ ュ レーシ ョ ンおよびス テー タ ス ベ ク タ ー
信号名
方向
説明
pcspma_configuration_vector[535:0]
入力
PCS/PMA レ ジ ス タ を コ ン フ ィ ギ ュ レー ト し ます。
pcspma_status_vector[447:0]
出力
PCS/PMA レ ジ ス タ の最新ス テー タ ス を反映 し ます。
こ れ ら の コ ン フ ィ ギ ュ レーシ ョ ンお よ びス テー タ ス ベ ク タ ーでエ ミ ュ レー ト さ れ る レ ジ ス タ については、 「レ ジ ス タ
空間」 を参照 し て く だ さ い。
一部の IEEE レ ジ ス タ は読み出 し 時に設定/消去 さ れ る も の と し て定義 さ れてい ます。 ま た、 コ ン フ ィ ギ ュ レーシ ョ ン
お よ びス テー タ ス ベ ク タ ーを使用す る 場合は、 読み出 し がないため、 代わ り に特別な制御が提供 さ れてい ます。 詳細
は、 図 2-6お よ び 図 2-7を参照 し て く だ さ い。
BASE‐R
表 2-30 は 10GBASE-R の コ ン フ ィ ギ ュ レーシ ョ ン ベ ク タ ーの内訳を示 し 、表 2-31 はその ス テー タ ス ベ ク タ ーの内訳
を示 し てい ます。 こ こ にないビ ッ ト は 0 にな る も の と し ます。 コ ア ク ロ ッ ク は 表 3-1 で定義 さ れてい ます。
表 2‐30 : コ ン フ ィ ギ ュ レーシ ョ ン ベ ク タ ー ‐ BASE‐R
ビッ ト IEEE レ ジス タ ビッ ト
説明
クロッ ク
ド メ イン
0
「1.0.0」
PMA ループバ ッ ク イ ネーブル
ト (1)
非同期
15
「1.0.15」
PMA リ セ ッ
16
「1.9.0」
グ ロ ーバル PMD TX デ ィ ス エーブル
非同期
110
「3.0.14」
PCS ループバ ッ ク イ ネーブル
コア ク ロ ッ ク
ト (1)
コア ク ロ ッ ク
111
「3.0.15」
PCS リ セ ッ
169:112
3.37-3.34
「MDIO レ ジ ス タ 3.34–37 : 10GBASE-R テ ス ト パ タ ーン シー
ド A0–3」
コア ク ロ ッ ク
233:176
3.41-3.38
「MDIO レ ジ ス タ 3.38-41 : 10GBASE-R テ ス ト パ タ ーン シー
ド B0–3」
コア ク ロ ッ ク
240
「3.42.0」
デー タ パ タ ーン選択
コア ク ロ ッ ク
241
「3.42.1」
テ ス ト パ タ ーン選択
コア ク ロ ッ ク
242
「3.42.2」
RX テ ス ト パ タ ーン チ ェ ッ キ ン グ イ ネーブル
コア ク ロ ッ ク
243
「3.42.3」
TX テ ス ト パ タ ーン イ ネーブル
コア ク ロ ッ ク
244
「3.42.4」
PRBS31 TX テ ス ト パ タ ーン イ ネーブル
コア ク ロ ッ ク
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
コア ク ロ ッ ク
japan.xilinx.com
35
第 2 章 : 製品仕様
表 2‐30 : コ ン フ ィ ギ ュ レーシ ョ ン ベ ク タ ー ‐ BASE‐R (続き)
ビッ ト IEEE レ ジス タ ビッ ト
説明
クロッ ク
ド メ イン
245
「3.42.5」
PRBS31 RX テ ス ト パ タ ーン チ ェ ッ キ ン グ イ ネーブル
コア ク ロ ッ ク
399:384
「3.65535.15:0」
125  s タ イ マー制御
非同期(3)
512
( 「1.1.2」 ) (2)
PMA リ ン ク ス テー タ ス を設定
コア ク ロ ッ ク
(2)
513
( 「1.8.11」 )
( 「1.8.10」 ) (2)
PMA/PMD リ ン ク ス テー タ ス を消去
コア ク ロ ッ ク
516
( 「3.1.2」 ) (2)
PCS リ ン ク ス テー タ ス を設定
コア ク ロ ッ ク
(2)
517
( 「3.8.11」 )
( 「3.8.10」 ) (2)
PCS リ ン ク フ ォ ル ト を消去
コア ク ロ ッ ク
518
(3.33) (2)
「MDIO レ ジ ス タ 3.33 : 10GBASE-R ス テー タ ス 2」
コア ク ロ ッ ク
519
(3.43) (2)
「MDIO レ ジ ス タ 3.43 : 10GBASE-R テ ス ト パ タ ーン エ ラ ー
カ ウ ン タ ー」
コア ク ロ ッ ク
1. こ れ ら の リ セ ッ ト 信号は 1 ク ロ ッ ク 間のみアサー ト す る 必要があ り ます。
2. 指定レ ジ ス タ の リ セ ッ ト 制御
3. 通常は定数
表 2‐31 : ス テー タ ス ベ ク タ ー ‐ BASE‐R
ビッ ト
IEEE
レ ジス タ ビッ ト
説明
クロッ ク
ド メ イン
15
「1.0.15」
PMA リ セ ッ ト です。
コア ク ロ ッ ク
18
「1.1.2」
PMA/PMD RX リ ン ク ス テー タ ス (Low に保持)
コア ク ロ ッ ク
フ ォ ル ト (1)
23
「1.1.7」
PMA/PMD
32
「1.8.0」
PMA ループバ ッ ク 機能
な し (2)
40
「1.8.8」
送信デ ィ ス エーブル機能
なし
42
「1.8.10」
PMA/PMD RX フ ォ ル ト (High に保持)
コア ク ロ ッ ク
43
「1.8.11」
PMA/PMD TX フ ォ ル ト (High に保持)
コア ク ロ ッ ク
44
「1.8.12」
PMA/PMD TX フ ォ ル ト 機能
なし
45
「1.8.13」
PMA/PMD RX フ ォ ル ト 機能
なし
47
1.8.15
デバ イ ス応答中 (「MDIO レ ジ ス タ 1.8 : 10G PMA/PMD ス テー タ ス 2」)
なし
48
「1.10.0」
グ ロ ーバル PMD RX 信号検出
コア ク ロ ッ ク
207:192
1.65535
「MDIO レ ジ ス タ 1.65535 : コ ア バージ ョ ン情報」
なし
223
「3.0.15」
PCS リ セ ッ ト (Zynq-7000、 Virtex-7、 お よ び Kintex-7 デバ イ ス のみ)
コア ク ロ ッ ク
226
「3.1.2」
PCS RX リ ン ク ス テー タ ス (Low に保持)
コア ク ロ ッ ク
フ ォ ル ト (1)
コア ク ロ ッ ク
231
「3.1.7」
PCS
240
「3.8.0」
10GBASE-R 機能
なし
250
「3.8.10」
PCS RX フ ォ ル ト (High に保持)
コア ク ロ ッ ク
251
「3.8.11」
PCS TX フ ォ ル ト (High に保持)
コア ク ロ ッ ク
255
3.8.15
デバ イ ス応答中 ( 「MDIO レ ジ ス タ 3.8 : 10G PCS ス テー タ ス 2」 )
なし
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
コア ク ロ ッ ク
japan.xilinx.com
36
第 2 章 : 製品仕様
表 2‐31 : ス テー タ ス ベ ク タ ー ‐ BASE‐R (続き)
ビッ ト
IEEE
レ ジス タ ビッ ト
説明
クロッ ク
ド メ イン
256
「3.32.0」
10GBASE-R PCS RX ロ ッ ク 状態
コア ク ロ ッ ク
257
「3.32.1」
10GBASE-R PCS High BER
コア ク ロ ッ ク
258
「3.32.2」
PRBS31 サポー ト
なし
268
「3.32.12」
10GBASE-R PCS RX リ ン ク ス テー タ ス
コア ク ロ ッ ク
279:272
「3.33.7:0」
10GBASE-R PCS エ ラ ーのあ る ブ ロ ッ ク のカ ウ ン タ ー
コア ク ロ ッ ク
285:280
「3 . 3 3 . 1 3 :
8」
10GBASE-R PCS BER カ ウ ン タ ー
コア ク ロ ッ ク
286
「3.33.14」
RX high BER を High に保持
コア ク ロ ッ ク
287
「3.33.15」
RX ブ ロ ッ ク ロ ッ ク を High に保持
コア ク ロ ッ ク
303:288
「3 . 4 3 . 1 5 :
0」
10GBASE-R テ ス ト パ タ ーン エ ラ ー カ ウ ン タ ー
コア ク ロ ッ ク
1. こ の ビ ッ ト は、 2 つの ラ ッ チ し てい る ビ ッ ト の論理 OR であ る ため、 実際に ラ ッ チす る こ と な く ラ ッ チ動作が見 ら れます。
2. こ の ビ ッ ト は定数で、 ま た ク ロ ッ ク ド メ イ ンは適用不可です。
BASE‐KR
表 2-32 は、 BASE-KR 機能に特化 し た コ ン フ ィ ギ ュ レーシ ョ ン ベ ク タ ーの追加信号を示 し てい ます。 コ ア ク ロ ッ ク
は 表 3-1 で定義 さ れてい ます。
表 2‐32 : コ ン フ ィ ギ ュ レーシ ョ ン ベ ク タ ー ‐ BASE‐KR
ビッ ト
IEEE レ ジ ス タ ビッ ト
説明
クロッ ク
ド メ イン
32
「1.150.0」
ト レーニ ン グの再開
コア ク ロ ッ ク
33
「1.150.1」
ト レーニ ン グ を イ ネーブル
非同期
53:48
1.152.5:0
「MDIO レ ジ ス タ 1.152 : 10GBASE-KR LP 係数ア ッ プデー ト 」
非同期
60
「1.152.12」
LP 係数初期化 (1.150.1 = 0 の と き 有効)
非同期
61
「1.152.13」
LP 係数プ リ セ ッ ト (1.150.1 = 0 の と き に有効)
非同期
を イ ネーブル(1)
64
「1.171.0」
FEC
65
「1.171.1」
PCS への FEC 信号エ ラ ー (1) (5)
281
284
「7.0.9」
「7.0.12」
コア ク ロ ッ ク
コア ク ロ ッ ク
オー ト ネ ゴ シエーシ ョ
ン を再開(2)
コア ク ロ ッ ク
オー ト ネ ゴ シエーシ ョ
ン を イ ネーブル(2)
コア ク ロ ッ ク
サポー ト (2)
コア ク ロ ッ ク
285
「7.0.13」
拡張次ページ
287
「7.0.15」
オー ト ネ ゴ シエーシ ョ ン を リ セ ッ ト (2)
コア ク ロ ッ ク
300:293
「7.16.12:5」
AN 通知デー タ D12..D5
コア ク ロ ッ ク
301
「7.16.13」
AN 通知デー タ – リ モー ト フ ォ ル ト
コア ク ロ ッ ク
303
「7.16.15」
AN 通知デー タ – 次ページ
コア ク ロ ッ ク
319:304
「7.17.15:0」
AN 通知デー タ – D31..D16
コア ク ロ ッ ク
335:320
「7.18.15:0」
AN 通知デー タ – D47..D32
コア ク ロ ッ ク
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
37
第 2 章 : 製品仕様
表 2‐32 : コ ン フ ィ ギ ュ レーシ ョ ン ベ ク タ ー ‐ BASE‐KR (続き)
ビッ ト
IEEE レ ジ ス タ ビッ ト
説明
クロッ ク
ド メ イン
346:336
「7.22.10:0」
AN XNP – メ ッ セージ フ ォーマ ッ ト さ れていない コ ー ド フ ィ ール ド
コア ク ロ ッ ク
348
「7.22.12」
AN XNP 肯定応答 2
コア ク ロ ッ ク
349
「7.22.13」
AN XNP メ ッ セージ ページ
コア ク ロ ッ ク
351
「7.22.15」
AN XNP 次ページ
コア ク ロ ッ ク
367:352
「7.23.15:0」
AN XNP フ ォーマ ッ ト さ れていない コ ー ド フ ィ ール ド 1
コア ク ロ ッ ク
383:368
「7.24.15:0」
AN XNP フ ォーマ ッ ト さ れていない コ ー ド フ ィ ール ド 2
コア ク ロ ッ ク
405:400
1.65520.5:0
「MDIO レ ジ ス タ : 1.65520 : ベン ダー別 LD ト レーニ ン グ」
コア ク ロ ッ ク
412
「1.65520.12」
LD ト レーニ ン グ初期化
コア ク ロ ッ ク
413
「1.65520.13」
LD ト レーニ ン グ プ リ セ ッ ト
コア ク ロ ッ ク
415
「1.65520.15」
ト レーニ ン グ完了
コア ク ロ ッ ク
514
(1.173:172)(3)
「MDIO レ ジ ス タ 1.173 : 10GBASE-R FEC 訂正ブ ロ ッ ク (上位)」
「MDIO レ ジ ス タ 1.172 : 10GBASE-R FEC 訂正ブ ロ ッ ク (下位)」
コア ク ロ ッ ク
515
(1.175:174)(3)
「MDIO レ ジ ス タ 1.175 : 10GBASE-R FEC 未訂正ブ ロ ッ ク (上位)」
「MDIO レ ジ ス タ 1.174 : 10GBASE-R FEC 未訂正ブ ロ ッ ク (下位)」
コア ク ロ ッ ク
520
( 「7.1.2」 )(3)
AN リ ン ク ア ッ プ/ダ ウ ン を設定
コア ク ロ ッ ク
521
( 「7.1.4」
)(3)
AN リ モー ト フ ォ ル ト を消去
コア ク ロ ッ ク
522
( 「7.1.6」
)(3)
AN ページ受信を消去
コア ク ロ ッ ク
523
(7.18:16)(4)
「MDIO レ ジ ス タ 7.16–37 : AN 通知」
コア ク ロ ッ ク
524
(7.24:22)(4)
「MDIO レ ジ ス タ 7.22、 23、 24 : AN XNP 送信」
コア ク ロ ッ ク
1. オプシ ョ ンの FEC ブ ロ ッ ク が含ま れてい る 場合にのみ有効
2. オプシ ョ ンの AN ブ ロ ッ ク が含ま れてい る 場合にのみ有効
3. 指定レ ジ ス タ の リ セ ッ ト 制御
4. 関連付け ら れてい る コ ン フ ィ ギ ュ レーシ ョ ン ベ ク タ ー ビ ッ ト か ら AN ページ デー タ を読み込むために ト グル
5. FEC が イ ネーブルの と き に FEC エ ラ ー パ ス が イ ネーブルにな っ てい る 場合、 エ ラ ーは一時的に見 ら れます。 こ れを避け る に
は、 FEC をデ ィ ス エーブルに し てエ ラ ー パ ス のみを イ ネーブルに し ます。
表 2-33は、 BASE-KR 機能に特化 し た ス テー タ ス ベ ク タ ーの追加信号を示 し てい ます。
表 2‐33 : ス テー タ ス ベ ク タ ー ‐ BASE‐KR
ビッ ト
IEEE
レジス タ ビッ ト
説明
クロッ ク
ド メ イン
41
「1.8.9」
拡張機能
な し (1)
67:64
1.151.3:0
「MDIO レ ジ ス タ 1.151 : 10GBASE-KR PMD ス テー タ ス」
コア ク ロ ッ ク
85:80
1.153.5:0
「MDIO レ ジ ス タ 1.153 : 10GBASE-KR LP ス テー タ ス」
コア ク ロ ッ ク
95
「1.153.15」
LP ス テー タ ス レ ポー ト ト レーニ ン グ完了
コア ク ロ ッ ク
101:96
1.152.5:0
「MDIO レ ジ ス タ 1.152 : 10GBASE-KR LP 係数ア ッ プデー ト 」
コア ク ロ ッ ク
108
「1.152.12」
LP 係数初期化
コア ク ロ ッ ク
109
「1.152.13」
LP 係数プ リ セ ッ ト
コア ク ロ ッ ク
117:112
1.155.5:0
「MDIO レ ジ ス タ 1.155 : 10GBASE-KR LD ス テー タ ス」
コア ク ロ ッ ク
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
38
第 2 章 : 製品仕様
表 2‐33 : ス テー タ ス ベ ク タ ー ‐ BASE‐KR (続き)
ビッ ト
IEEE
レジス タ ビッ ト
説明
クロッ ク
ド メ イン
127
「1.155.15」
LD ス テー タ ス レ ポー ト ト レーニ ン グ完了
コア ク ロ ッ ク
159:128
1.173:172
「MDIO レ ジ ス タ 1.173 : 10GBASE-R FEC 訂正ブ ロ ッ ク (上位)」 (2)
「MDIO レ ジ ス タ 1.172 : 10GBASE-R FEC 訂正ブ ロ ッ ク (下位)」 (2)
コア ク ロ ッ ク
191:160
1.175:174
「MDIO レ ジ ス タ 1.175 : 10GBASE-R FEC 未訂正ブ ロ ッ ク (上位)」 (2)
「MDIO レ ジ ス タ 1.174 : 10GBASE-R FEC 未訂正ブ ロ ッ ク (下位)」 (2)
コア ク ロ ッ ク
319
「7.0.15」
AN リ セ ッ ト (3)
コア ク ロ ッ ク
対応(3)
320
「7.1.0」
LP AN
322
「7.1.2」
AN リ ン ク ア ッ プ/ダ ウ ン (Low に保持)(3)
323
324
AN
「7.1.3」
コア ク ロ ッ ク
なし
AN リ モー ト フ ォ ル ト (High
「7.1.4」
に保持)(3)
「7.1.5」
AN
326
「7.1.6」
AN ページ受信 (High に保持)(3)
AN
「7.1.7」
コア ク ロ ッ ク
完了(3)
325
327
コア ク ロ ッ ク
機能(3)
コア ク ロ ッ ク
コア ク ロ ッ ク
拡張次ページ使用(3)
コア ク ロ ッ ク
(3)
383:336
7.21:19
「MDIO レ ジ ス タ 7.19、 20、 21 : AN LP 基本ページ機能」
394:384
「7.25.10:0」
AN LP XNP – メ ッ セージ フ ォーマ ッ ト さ れていない コ ー ド フ ィ ール
ド (3)
コア ク ロ ッ ク
395
「7.25.11」
AN LP XNP – ト グル(3)
コア ク ロ ッ ク
396
「7.25.12」
AN LP XNP – 肯定応答 2(3)
397
399
「7.25.13」
AN LP XNP – メ ッ セージ
「7.25.15」
次ページ (3)
AN LP XNP –
コア ク ロ ッ ク
ページ (3)
コア ク ロ ッ ク
コア ク ロ ッ ク
1(3)
コア ク ロ ッ ク
コア ク ロ ッ ク
415:400
「7.26.15:0」
AN LP XNP フ ォーマ ッ ト さ れていない コ ー ド フ ィ ール ド
431:416
「7.27.15:0」
AN LP XNP フ ォーマ ッ ト さ れていない コ ー ド フ ィ ール ド 2(3)
432
435
436
「7.48.0」
「7.48.3」
「7.48.4」
バ ッ ク プ レーン AN
コア ク ロ ッ ク
機能(3)
バ ッ ク プ レーン イ ーサネ ッ ト ス テー タ ス – KR
コア ク ロ ッ ク
ネ ゴ シエー ト (3)
バ ッ ク プ レーン イ ーサネ ッ ト ス テー タ ス – FEC
ネ ゴ シエー ト (3)
コア ク ロ ッ ク
コア ク ロ ッ ク
1. こ の ビ ッ ト は定数で、 ま た ク ロ ッ ク ド メ イ ンは適用不可です。
2. オプシ ョ ンの FEC ブ ロ ッ ク が含ま れてい る 場合にのみ有効
3. オプシ ョ ンの AN ブ ロ ッ ク が含ま れてい る 場合にのみ有効
ス テー タ ス ベ ク タ ーの ビ ッ ト 286 は High に保持 さ れていて、 pcspma_configuration_vector ポー ト の ビ ッ ト
518 に よ り 、 Low で消去 さ れます。 図 2-6 は、 ス テー タ ス ビ ッ ト が ど の よ う に消去 さ れ る か を説明 し てい ます。
X-Ref Target - Figure 2-6
VWDWXVBYHFWRU>@
FRQILJXUDWLRQBYHFWRU>@
;
図 2‐6 : High を保持 し てい る ビ ッ ト の消去
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
39
第 2 章 : 製品仕様
pcspma_status_vector ポー ト のビ ッ ト 18、 226、 287 は Low に保持 し ていて、 コ ン フ ィ ギ ュ レーシ ョ ン ベ ク タ ー
のビ ッ ト 512、 516、 518 に よ っ て High に設定 さ れます。 図 2-7 は、 ス テー タ ス ビ ッ ト が ど の よ う に設定 さ れ る か を
説明 し てい ます。
X-Ref Target - Figure 2-7
VWDWXVBYHFWRU>@
RUVWDWXVBYHFWRU>@
RUVWDWXVBYHFWRU>@
FRQILJXUDWLRQBYHFWRU>@
RUFRQILJXUDWLRQBYHFWRU>@
RUFRQILJXUDWLRQBYHFWRU>@
UHVSHFWLYHO\
;
図 2‐7 : Low を保持 し ている ビ ッ ト の設定
同様に、 High を保持 し てい る ス テー タ ス ベ ク タ ーのビ ッ ト 42 お よ び 43 は コ ン フ ィ ギ ュ レーシ ョ ン ベ ク タ ーのビ ッ
ト 513 を使用 し て リ セ ッ ト で き 、ま た ビ ッ ト 250 お よ び 251 は コ ン フ ィ ギ ュ レーシ ョ ン ベ ク タ ーの 517 を使用 し て リ
セ ッ ト で き ます。
•
ス テー タ ス ビ ッ ト 285:272 も 、 コ ン フ ィ ギ ュ レーシ ョ ン ベ ク タ ーのビ ッ ト 518 を使用 し て リ セ ッ ト さ れます。
•
ス テー タ ス ビ ッ ト 303:288 は、 コ ン フ ィ ギ ュ レーシ ョ ン ベ ク タ ーのビ ッ ト 519 を使用 し て リ セ ッ ト さ れます。
BASE-KR コ アの場合、 次の ス テー タ ス ベ ク タ ー ビ ッ ト に対 し 、 同様の リ セ ッ ト 動作があ り ます。
•
ス テー タ ス ビ ッ ト 159:128 は、 コ ン フ ィ ギ ュ レーシ ョ ン ベ ク タ ーのビ ッ ト 514 を使用 し て消去 さ れます。
•
ス テー タ ス ビ ッ ト 191:160 は、 コ ン フ ィ ギ ュ レーシ ョ ン ベ ク タ ーのビ ッ ト 515 を使用 し て消去 さ れます。
•
ス テー タ ス ビ ッ ト 322 は、 コ ン フ ィ ギ ュ レーシ ョ ン ベ ク タ ーのビ ッ ト 520 を使用 し て設定 さ れます。
•
ス テー タ ス ビ ッ ト 324 は、 コ ン フ ィ ギ ュ レーシ ョ ン ベ ク タ ーのビ ッ ト 521 を使用 し て消去 さ れます。
•
ス テー タ ス ビ ッ ト 326 は、 コ ン フ ィ ギ ュ レーシ ョ ン ベ ク タ ーのビ ッ ト 522 を使用 し て消去 さ れます。
最後に、 コ ン フ ィ ギ ュ レーシ ョ ン ベ ク タ ーのビ ッ ト 335:293 お よ び 383:336 はそれぞれ 3 つの 16 ビ ッ ト レ ジ ス タ を
イ ンプ リ メ ン ト し ます。 通常、 こ れ ら の レ ジ ス タ は下位レ ジ ス タ が書 き 込まれ る と き に コ アに ラ ッ チ さ れ、 デー タ に
整合性を持たせます。 ベ ク タ ー全体が使用 さ れ る 場合は、 こ の動作は不要であ る ため。 こ れ ら の ビ ッ ト は、 コ ン フ ィ
ギ ュ レーシ ョ ン レ ジ ス タ のビ ッ ト 523お よ び 524 がそれぞれ High に ト グルす る たびに、 コ アに ラ ッ チ さ れます。
割 り 込み信号
表 2-34 に割 り 込み出力信号を示 し ます。 詳細は、 「割 り 込み出力レ ジ ス タ 」 を参照 し て く だ さ い。
表 2‐34 : 割 り 込み出力ポー ト の説明
名前
xgmacint
方向
出力
説明
割 り 込み出力
IEEE 1588 送信 タ イ ムス タ ン プ ポー ト
IEEE 1588 がサポー ト さ れてい る 場合、取 り 込まれた タ イ ム ス タ ンプお よ び タ グは、専用の AXI4-Stream イ ン タ ーフ ェ
イ ス に出力 さ れます。 信号定義お よ び タ イ ミ ン グ図は、 表 2-35 お よ び図 2-8 にあ り ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
40
第 2 章 : 製品仕様
表 2‐35 : IEEE 1588 送信 タ イムス タ ン プ ポー ト の定義
名前
方向
説明
m_axis_tx_ts_tdata[127:0]
出力
ToD タ イ ム ス タ ンプ フ ォーマ ッ ト
m_axis_tx_ts_tdata[31:0] : 10 Gigabit Ethernet MAC, か ら の送信 タ イ ム ス
タ ンプ (ナ ノ 秒)
m_axis_tx_ts_tdata[79:32] : 10 Gigabit Ethernet MAC, か ら の送信 タ イ ム ス
タ ンプ (秒)
m_axis_tx_ts_tdata[95:80] : フ レームの元の 16 ビ ッ ト タ グ フ ィ ール ド (
伝送用に送信 さ れた フ レ ーム の コ マ ン ド フ ィ ール ド の タ グ フ ィ ール
ド から)
m_axis_tx_ts_tdata[127:96] : 今後のために予約 (すべての ビ ッ ト が無視
される)
訂正フ ィ ール ド タ イ ム ス タ ンプ フ ォーマ ッ ト
m_axis_tx_ts_tdata[63:0] : 10 Gigabit Ethernet MAC か ら の送信 タ イ ム ス
タ ンプ
m_axis_tx_ts_tdata[79:64] : 今後のために予約 (すべての ビ ッ ト が無視 さ
れる)
m_axis_tx_ts_tdata[95:80] : フ レームの元の 16 ビ ッ ト タ グ フ ィ ール ド (
伝送用に送信 さ れた フ レ ーム の コ マ ン ド フ ィ ール ド の タ グ フ ィ ール
ド から)
m_axis_tx_ts_tdata[127:96] : 今後のために予約 (すべての ビ ッ ト が無視
される)
m_axis_tx_ts_tvalid
出力
10 Gigabit Ethernet MAC か ら の AXI4-Stream 送信 タ イ ム ス タ ンプ デー
タ 有効
X-Ref Target - Figure 2-8
FONBRXW
PBD[LVBW[BWVBWYDOLG
PBD[LVBW[BWVBWGDWD>@
WVWDJ
図 2‐8 : 送信 タ イムス タ ン プ出力
IEEE 1588 受信 タ イ ムス タ ン プ ポー ト
IEEE 1588 がサポー ト さ れてい る 場合、 取 り 込ま れた タ イ ム ス タ ンプは、 専用の AXI4-Stream イ ン タ ーフ ェ イ ス を使
用 し て フ レームが受信 さ れ る と 、 常にア ウ ト オブバン ド で出力 さ れます。 信号定義は表 2-36 を参照 し て く だ さ い。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
41
第 2 章 : 製品仕様
表 2‐36 : IEEE 1588 受信 タ イムス タ ン プ ポー ト の定義
名前
方向
ク ロ ッ ク ド メ イン
説明
m_axis_rx_ts_data[127:0]
出力
clk156_out
AXI4-Stream 受信 タ イ ム ス タ ンプ値
ToD タ イ ム ス タ ンプ フ ォーマ ッ ト
[127:80]:受信 さ れた ビ ッ ト はすべて無視 さ れ る
[79:32]:現在の フ レームの タ イ ム ス タ ンプ値 (秒)
[31:0]:現在の フ レームの タ イ ム ス タ ンプ値 (ナ ノ 秒)
訂正フ ィ ール ド タ イ ム ス タ ンプ フ ォーマ ッ ト
m_axis_tx_ts_tdata[63:0]:10 Gigabit Ethernet MAC か ら の送
信 タ イ ム ス タ ンプ
m_axis_tx_ts_tdata[127:64]:今後 の た め に 予約 ( すべ て の
ビ ッ ト が無視 さ れ る )
m_axis_rx_ts_tvalid
出力
clk156_out
AXI4-Stream 受信 タ イ ム ス タ ンプ デー タ 有効
IEEE 1588 シ ス テム タ イ マー ポー ト
ToD (Time‐of‐Day) シ ス テム タ イ マー フ ォ ーマ ッ ト
IEEE 1588 シ ス テ ム全体の ToD (Time-of-Day) タ イ マーは、 表 2-37 で定義 さ れてい る ポー ト を使用 し て コ アに提供 さ
れます。
表 2‐37 : IEEE 1588 シス テム タ イ マー ポー ト
名前
方向
ク ロ ッ ク ド メ イ ン
説明
systemtimer_clk
入力
-
systemtimer_s_field[47:0]
入力
systemtimer_clk
IEEE 1588-2008 シ ス テ ム タ イ マーの 48 ビ ッ ト の
秒 フ ィ ール ド 。 systemtimerin_ns_field[29:0] がゼ ロ
に リ セ ッ ト さ れ る たびに 1 ずつ増加。
systemtimer_ns_field[31:0]
入力
systemtimer_clk
IEEE 1588-2008 シ ス テ ム タ イ マーの 32 ビ ッ ト のナ
ノ 秒フ ィ ール ド 。 0 か ら (1x109)-1 [1秒] ま でカ ウ ン
ト し てか ら 、 ゼ ロ に リ セ ッ ト 。
コ アに供給さ れる シ ス テム タ イ マーの ク ロ ッ ク
訂正 フ ィ ール ド シ ス テム タ イ マー フ ォ ーマ ッ ト
IEEE 1588 の 13.3.2.7 節で定義 さ れて い る 数字 フ ォ ーマ ッ ト を 使用 し た、 64 ビ ッ ト の訂正 フ ィ ール ド タ イ マーは、
表 2-38 で定義 さ れてい る ポー ト を使用 し て コ アに提供 さ れます。
表 2‐38 : 訂正フ ィ ール ド シ ス テム タ イ マー ポー ト
名前
correctiontimer_clk
Correction_timer[63:0]
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
方向
ク ロ ッ ク ド メ イ ン
入力
-
入力
correctiontimer_clk
japan.xilinx.com
説明
コ アに供給 さ れ る シ ス テ ム タ イ マーの ク ロ ッ ク
ビ ッ ト [63:16] は 48 ビ ッ ト の 符号付 き ナ ノ 秒
フ ィ ール ド を 表す。 ビ ッ ト [15:0] は小数のナ ノ 秒
フ ィ ール ド を表す (ビ ッ ト 15 は 1/2 ナ ノ 秒、 ビ ッ ト
14 は 1/4 ナ ノ 秒、ビ ッ ト 13 は 1/8 ナ ノ 秒... と な る )。
こ の タ イ マーは 0 か ら 264-1 ま でカ ウ ン ト し てか ら
ラ ッ プ し ます。
42
第 2 章 : 製品仕様
レ ジス タ 空間
統計カ ウン タ ー
コ アは、 シ ス テ ム内のネ ッ ト ワ ー ク 管理機能に よ っ て処理 さ れてい る さ ま ざ ま な操作のエ ラ ーの有無な ど に関 し て、
統計を と っ てい ます。 こ れ ら の統計には、 AXI4-Lite 管理 イ ン タ ーフ ェ イ ス を介 し て ア ク セ ス で き ます。 統計カ ウ ン
タ ーの詳細は、 表 2-39を参照 し て く だ さ い。 IEEE 規格 802.3-2012 [参照 1]の第 30.2.1 節にあ る よ う に、 こ れ ら の統計
カ ウ ン タ ーは折 り 返 し カ ウ ン タ ーで、 リ セ ッ ト 機能はあ り ません。 こ れ ら のカ ウ ン タ ーが読み出 さ れ る と き に リ セ ッ
ト さ れ る こ と はな く 、 カ ウ ン タ ーが折 り 返 し た と き 、 ま たはデバ イ ス が リ コ ン フ ィ ギ ュ レー ト さ れた と き にのみゼ ロ
に戻 り ます。
統計カ ウ ン タ ーはすべて読み出 し 専用で、 こ のカ ウ ン タ ーへの書 き 込み試行に対 し ては、 AXI4-Lite バ ス の SLVERR
で肯定応答が送信 さ れます。 特定カ ウ ン タ ーの MSW の読み出 し は、 前の ト ラ ンザ ク シ ョ ンが同 じ カ ウ ン タ ーの LSW
にア ド レ ス指定 さ れてい る 場合にのみ行われます。 それ以外の場合は、 MSW の読み出 し 操作に対 し ては、 AXI4-Lite
バ ス の SLVERR で肯定応答が送信 さ れます。 読み出 し ト ラ ンザ ク シ ョ ン間で LSW カ ウ ン タ ーが MSW カ ウ ン タ ーに
繰 り 越すのを避け る ために、 こ の制限が設け ら れてい ます。
表 2‐39 : 統計カ ウン タ ー
ア ド レス
(16 進数)
名前 説明
0x200
受信 さ れたバ イ ト (LSW)
0x204
受信 さ れたバ イ ト (MSW)
0x208
送信 さ れたバ イ ト (LSW)
0x20C
送信 さ れたバ イ ト (MSW)
0x210
サ イ ズの小 さ い フ レームの受信 (LSW)
0x214
サ イ ズの小 さ い フ レームの受信 (MSW)
0x218
サ イ ズが小 さ く 、 エ ラ ーの あ る フ レ ーム の受信 長 さ が 64 バ イ ト 未満 で あ り 、 フ レ ー ム チ ェ ッ ク
(LSW)
シーケ ン ス フ ィ ール ド にエ ラ ーがあ る パケ ッ ト の受
信カ ウ ン ト
サ イ ズが小 さ く 、 エ ラ ーの あ る フ レ ーム の受信
(MSW)
0x21C
受信 さ れ た フ レ ー ム の バ イ ト カ ウ ン ト (デ ス テ ィ
ネーシ ョ ン ア ド レ ス か ら フ レ ーム チ ェ ッ ク シーケ
ン ス を含む)
送信 さ れ た フ レ ー ム の バ イ ト カ ウ ン ト (デ ス テ ィ
ネーシ ョ ン ア ド レ ス か ら フ レ ーム チ ェ ッ ク シーケ
ン ス を含む)
長 さ が 64 バ イ ト 未満だが、 それ を 除けば有効な フ
レーム数のカ ウ ン ト
0x220
64 バ イ ト の フ レームの受信 OK (LSW)
0x224
64 バ イ ト の フ レームの受信 OK (MSW)
0x228
65 か ら 127 バ イ ト の フ レームの受信 OK (LSW)
0x22C
65 か ら 127 バ イ ト の フ レームの受信 OK (MSW)
0x230
128 か ら 255 バ イ ト の フ レームの受信 OK (LSW)
0x234
128 か ら 225 バ イ ト の フ レ ー ム の 受 信 OK
(MSW)
0x238
256 か ら 511 バ イ ト の フ レームの受信 OK (LSW)
0x23C
256 か ら 511 バ イ ト の フ レ ー ム の 受 信 OK
(MSW)
0x240
512 か ら 1023 バ イ ト の フ レ ー ム の 受 信 OK 長 さ が 512 バ イ ト か ら 1,023 バ イ ト (512 お よ び 1023
(LSW)
を含む) のエ ラ ーのないフ レームの受信カ ウ ン ト
0x244
512 か ら 1023 バ イ ト の フ レ ー ム の 受 信 OK
(MSW)
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
長 さ が 64 バ イ ト のエ ラ ーの な い フ レ ー ム の受信カ
ウン ト
長 さ が 65 バ イ ト か ら 127 バ イ ト (65 お よ び 127 を含
む) のエ ラ ーのない フ レームの受信カ ウ ン ト
長 さ が 128 バ イ ト か ら 255 バ イ ト (128 お よ び 255 を
含む) のエ ラ ーのない フ レームの受信カ ウ ン ト
長 さ が 256 バ イ ト か ら 511 バ イ ト (256 お よ び 511 を
含む) のエ ラ ーのない フ レームの受信カ ウ ン ト
43
第 2 章 : 製品仕様
表 2‐39 : 統計カ ウン タ ー (続き)
ア ド レス
(16 進数)
名前 説明
0x248
1024 バ イ ト か ら 最大値ま での フ レームの
受信 OK (LSW)
0x24C
1024 バ イ ト か ら 最大値ま での フ レームの
受信 OK (MSW)
0x250
サ イ ズの大 き な フ レームの受信 OK (LSW)
0x254
サ イ ズの大 き な フ レームの受信 OK (MSW)
0x258
64 バ イ ト の フ レームの送信 OK (LSW)
0x25C
64 バ イ ト の フ レームの送信 OK (MSW)
0x260
65 か ら 127 バ イ ト のフ レームの送信 OK (LSW)
0x264
65 か ら 127 バ イ ト のフ レームの送信 OK (MSW)
長 さ が 1024 バ イ ト か ら IEEE 規格 802.3-2012[参照 1]
で指定 さ れ て い る 最大値 ま で で、 エ ラ ーの な い フ
レームの受信カ ウ ン ト
長 さ が IEEE 規格 802.3-2012 で指定 さ れてい る 最大
値を超え ていて、 エ ラ ーのない フ レーム の受信カ ウ
ント
長 さ が 64 バ イ ト のエ ラ ーの な い フ レ ー ム の送信カ
ウン ト
長 さ が 65 バ イ ト か ら 127 バ イ ト のエ ラ ーのない フ
レームの送信カ ウ ン ト
0x268
128 か ら 255 バ イ ト のフ レームの送信 OK (LSW)
0x26C
128 か ら 255 バ イ ト のフ レームの送信 OK (MSW)
0x270
256 か ら 511 バ イ ト のフ レームの送信 OK (LSW)
0x274
256 か ら 511 バ イ ト のフ レームの送信 OK (MSW)
0x278
512 か ら 1023 バ イ ト のフ レームの送信 OK (LSW)
0x27C
512 か ら 1023 バ イ ト のフ レームの送信 OK (MSW)
0x280
1024 バ イ ト か ら 最大値ま での フ レームの
送信 OK (LSW)
0x284
1024 バ イ ト か ら 最大値ま での フ レームの
送信 OK
0x288
サ イ ズの大 き な フ レームの送信 OK (LSW)
0x28C
サ イ ズの大 き な フ レームの送信 OK (MSW)
長 さ が IEEE 規格 802.3-2012 で指定 さ れてい る 最大
値を超え ていて、 エ ラ ーのない フ レーム の送信カ ウ
ント
0x290
フ レームの受信 OK (LSW)
エ ラ ーのない フ レームの受信カ ウ ン ト
0x294
フ レームの受信 OK (MSW)
0x298
フ レーム チ ェ ッ ク シーケ ン ス エ ラ ー (LSW)
0x29C
フ レーム チ ェ ッ ク シーケ ン ス エ ラ ー (MSW)
0x2A0
ブ ロ ー ド キ ャ ス ト フ レームの受信 OK (LSW)
0x2A4
ブ ロ ー ド キ ャ ス ト フ レームの受信 OK (MSW)
0x2A8
マルチキ ャ ス ト フ レームの受信 OK (LSW)
0x2AC
マルチキ ャ ス ト フ レームの受信 OK (MSW)
0x2B0
制御フ レームの受信 OK (LSW)
0x2B4
制御フ レームの受信 OK (MSW)
0x2B8
長 さ / タ イ プが範囲外 (LSW)
0x2BC
長 さ / タ イ プが範囲外 (MSW)
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
長 さ が 128 バ イ ト か ら 255 バ イ ト のエ ラ ーのない フ
レームの送信カ ウ ン ト
長 さ が 256 バ イ ト か ら 511 バ イ ト のエ ラ ーのない フ
レームの送信カ ウ ン ト
長 さ が 512 バ イ ト か ら 1,023 バ イ ト のエ ラ ーのない
フ レームの送信カ ウ ン ト
長 さ が 1024 バ イ ト か ら IEEE 規格 802.3-2012[参照 1]
で指定 さ れ て い る 最大値 ま で で、 エ ラ ーの な い フ
レームの送信カ ウ ン ト
CRC チ ェ ッ ク でエ ラ ーのあ っ た、 長 さ が少な く と も
64 バ イ ト あ る フ レームの受信カ ウ ン ト
問題な く 受信 さ れ、 ブ ロ ー ド キ ャ ス ト グループ ア ド
レ スへ指定 さ れた フ レームのカ ウ ン ト
問題な く 受信 さ れ、 マルチキ ャ ス ト グループ ア ド レ
スへ指定 さ れた フ レームのカ ウ ン ト
長 さ / タ イ プ フ ィ ール ド に MAC 制御 タ イ プ ID が含
まれてい る 、 エ ラ ーのない フ レームの受信カ ウ ン ト
受信 さ れた MAC ク ラ イ ア ン ト デー タ バ イ ト 数 と 一
致 し な い長 さ が長 さ / タ イ プ フ ィ ール ド に含 ま れて
いて、長 さ が少な く と も 64 バ イ ト であ る エ ラ ーのな
い フ レームの受信カ ウ ン ト 。
ま た、 フ レームにパ ッ ド があ る が、受信 し た MAC ク
ラ イ ア ン ト デー タ バ イ ト 数が 64 バ イ ト (フ レ ーム
サ イ ズの最小値) よ り も 大 き い こ と が、 長 さ / タ イ プ
フ ィ ール ド で示 さ れてい る 場合、 こ のカ ウ ン タ ーは
イ ン ク リ メ ン ト し ます。
japan.xilinx.com
44
第 2 章 : 製品仕様
表 2‐39 : 統計カ ウン タ ー (続き)
ア ド レス
(16 進数)
名前 説明
0x2C0
VLAN タ グ フ レームの受信 OK (LSW)
0x2C4
VLAN タ グ フ レームの受信 OK (MSW)
0x2C8
一旦停止フ レームの受信 OK (LSW)
0x2CC
一旦停止フ レームの受信 OK (MSW)
0x2D0
サポー ト さ れていない opcode のあ る
制御フ レーム受信 (LSW)
0x2D4
サポー ト さ れていない opcode のあ る
制御フ レーム受信 (MSW)
0x2D8
フ レームの送信 OK (LSW)
0x2DC
フ レームの送信 OK (MSW)
0x2E0
ブ ロ ー ド キ ャ ス ト フ レームの送信 OK (LSW)
0x2E4
ブ ロ ー ド キ ャ ス ト フ レームの送信 OK (MSW)
0x2E8
マルチキ ャ ス ト フ レームの送信 OK (LSW)
0x2EC
マルチキ ャ ス ト フ レームの送信 OK (MSW)
0x2F0
ア ン ダー ラ ン エ ラ ー (LSW)
0x2F4
ア ン ダー ラ ン エ ラ ー (MSW)
0x2F8
制御フ レームの送信 OK (LSW)
0x2FC
制御フ レームの送信 OK (MSW)
0x300
VLAN タ グ フ レームの送信 OK (LSW)
0x304
VLAN タ グ フ レームの送信 OK (MSW)
0x308
一旦停止フ レームの送信 OK (LSW)
0x30C
一旦停止フ レームの送信 OK (MSW)
VLAN タ グのあ る 、 エ ラ ーのない フ レーム の受信カ
ウ ン ト 。 レ シ ーバーで VLAN 操作が イ ネーブルに
な っ てい る 場合にのみ、 こ の カ ウ ン タ ーは イ ン ク リ
メ ン ト し ます。
長 さ / タ イ プ フ ィ ール ド に MAC 制御 フ レ ー ム ID
88-08 が含まれていて、 MAC 制御マルチキ ャ ス ト ア
ド レ ス ま たは コ ン フ ィ ギ ュ レー ト さ れた イ ーサネ ッ
ト MAC の ソ ース ア ド レ ス に一致 し たデス テ ィ ネー
シ ョ ン ア ド レ ス が含まれていて、一旦停止 opcode が
含 ま れて、 イ ーサネ ッ ト MAC がそれに基づいた処
理を実行 し てい る 、 エ ラ ーのない フ レーム の受信カ
ウン ト
長 さ / タ イ プ フ ィ ール ド に MAC 制御 フ レ ー ム ID
88-08 が 含 ま れ て い る が、 一旦停止 opcode 以外 の
opcode のあ る 、 エ ラ ーのない フ レームの受信カ ウ ン
ト
エ ラ ーのない フ レームの送信カ ウ ン ト
ブ ロ ー ド キ ャ ス ト ア ド レ ス に送信 さ れたエ ラ ーのな
いフ レームのカ ウ ン ト
ブ ロー ド キ ャ ス ト ア ド レ ス以外のグループ ア ド レ ス に
送信 さ れたエ ラ ーのないフ レームのカ ウ ン ト
フ レーム送信中にア ン ダー ラ ン が発生 し た ため完了
で き ず、 それがな ければ コ アが送信で き たはずの フ
レ ーム カ ウ ン ト 。 長 さ が 64 バ イ ト 未満の フ レ ーム
はカ ウ ン ト さ れません。
長 さ / タ イ プ フ ィ ール ド に MAC 制御フ レ ーム タ イ
プ ID 88-08 が含まれてい る 、 エ ラ ーのない フ レーム
の送信カ ウ ン ト
VLAN タ グ を含む、 エ ラ ーのない フ レーム の送信カ
ウ ン ト ト ラ ン ス ミ ッ タ ーで VLAN 操作が イ ネーブル
にな っ てい る 場合にのみ、 こ のカ ウ ン タ ーは イ ン ク
リ メ ン ト し ます。
s_axis_pause_tvalid が ア サー ト さ れ た こ と を
受け て、 コ アが生成 し 送信す る 、 エ ラ ーのない一旦
停止フ レームのカ ウ ン ト
10G Ethernet MAC コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ
コ アがパ ワ ーア ッ プ し て リ セ ッ ト し た後、 ク ラ イ ア ン ト /ユーザー ロ ジ ッ ク は、 フ ロ ー制御サポー ト や WAN/LAN 接
続な ど の コ ア パ ラ メ ー タ ーの一部をデフ ォ ル ト か ら 再設定する こ と がで き ます。コ ン フ ィ ギ ュ レーシ ョ ンの変更は常
に書 き 込む こ と がで き ます。 レ シーバーお よ び ト ラ ン ス ミ ッ タ ーの両方の コ ン フ ィ ギ ュ レーシ ョ ン変更は、 IFG 中に
のみ反映 さ れます。 例外は コ ン フ ィ ギ ュ レーシ ョ ン可能な ソ フ ト リ セ ッ ト で、 こ れはすぐ に反映 さ れます。 コ アの コ
ン フ ィ ギ ュ レーシ ョ ンは、 管理 イ ン タ ーフ ェ イ ス か ら ア ク セ ス で き る レ ジ ス タ バン ク を介 し て行われます。 コ アで使
用で き る コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ は、 表 2-40 に ま と め ら れてい ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
45
第 2 章 : 製品仕様
表 2‐40 : コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ
ア ド レ ス (16 進数)
説明
0x400
「レ シーバー コ ン フ ィ ギ ュ レーシ ョ ン ワー ド 0」
0x404
「レ シーバー コ ン フ ィ ギ ュ レーシ ョ ン ワー ド 1」
0x408
「 ト ラ ン ス ミ ッ タ ー コ ン フ ィ ギ ュ レーシ ョ ン ワー ド 」
0x40C
「フ ロ ー制御 コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ 」
0x410
「 リ コ ン シ リ エーシ ョ ン サブ レ イ ヤー (RS) コ ン フ ィ ギ ュ レーシ ョ ン ワー ド 」
0x414
「レ シーバー MTU コ ン フ ィ ギ ュ レーシ ョ ン ワ ー ド 」
0x418
「 ト ラ ン ス ミ ッ タ ー MTU コ ン フ ィ ギ ュ レーシ ョ ン ワ ー ド 」
0x41C
「送信 タ イ ム ス タ ンプ調整制御レ ジ ス タ 」
0x480
優先度 0 の量子レ ジ ス タ
0x484
優先度 1 の量子レ ジ ス タ
0x488
優先度 2 の量子レ ジ ス タ
0x48C
優先度 3 の量子レ ジ ス タ
0x490
優先度 4 の量子レ ジ ス タ
0x494
優先度 5 の量子レ ジ ス タ
0x498
優先度 6 の量子レ ジ ス タ
0x49C
優先度 7 の量子レ ジ ス タ
0x4A0
「レ ガシ一旦停止 リ フ レ ッ シ ュ レ ジ ス タ 」
0x4F8
「バージ ョ ン レ ジ ス タ 」 (読み出 し 専用)
0x4FC
「機能レ ジ ス タ 」 (読み出 し 専用)
各 コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ の内容については、 Tables 2-41 か ら 表 2-52 ま でで説明 さ れてい ます。
表 2‐41 : レ シーバー コ ン フ ィ ギ ュ レーシ ョ ン ワー ド 0
ビッ ト
31:0
デ フ ォル ト
値
説明
すべて 0
一旦停止フ レーム MAC ア ド レ ス [31:0]。任意の入力フ ロ ー制御フ レームのデス テ ィ ネーシ ョ
ン ア ド レ ス に一致 さ せ る ため、 こ のア ド レ ス は コ アが使用 し ます。 ま た、 任意の出力フ ロ ー
制御フ レームの ソ ース ア ド レ ス (SA) と し て こ のア ド レ ス はフ ロ ー制御フ ロ ッ ク も 使用 し ま
す。
こ のア ド レ ス は、 コ アの メ イ ンの送受信デー タ パス を通過する フ レームには影響 し ません。
送信ま たは受信 さ れた最初のバ イ ト が レ ジ ス タ の最下位バ イ ト にな る よ う にア ド レ ス は順序
付け ら れます。 た と えば、 AA-BB-CC-DD-EE-FF の MAC ア ド レ ス は 0xFFEEDDCCBBAA と
し て ア ド レ ス [47:0] に格納 さ れます。 32 ビ ッ ト のデー タ パ ス の場合は、 デフ ォ ル ト 値ではな
く リ セ ッ ト の後に書き 込まれた最終値を こ の レ ジ ス タ は保持 し ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
46
第 2 章 : 製品仕様
表 2‐42 : レ シーバー コ ン フ ィ ギ ュ レーシ ョ ン ワー ド 1
ビッ ト
デ フ ォル ト
値
説明
31
0
レ シーバー リ セ ッ ト 。 こ のビ ッ ト が 1 に設定 さ れてい る 場合は、 レ シーバーは リ セ ッ ト さ れ
てい ます。 こ のビ ッ ト は こ の後、 自動的に 0 に戻 り ます。 こ の リ セ ッ ト に よ り 、 レ シーバー
コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ も デフ ォ ル ト 値に設定 さ れます。
0
ジ ャ ン ボ フ レ ー ム イ ネ ー ブ ル。 こ の ビ ッ ト が 1 に 設定 さ れ て い る 場合 は、 IEEE 規格
802.3-2012 [参照 1]で指定 さ れてい る 長 さ が最大値を超え る フ レーム を コ ア レ シーバーは受
け入れません。 こ の ビ ッ ト が 0 に設定 さ れてい る 場合は、 コ アは有効な最大値ま での フ レー
ムのみを受信 し ます。
29
0
イ ンバン ド FCS イ ネーブル。 こ のビ ッ ト が 1 に設定 さ れてい る 場合は、 「 イ ンバン ド FCS を
渡す受信」 で説明 さ れてい る よ う に、 コ ア レ シーバーは ク ラ イ ア ン ト に FCS フ ィ ール ド を
渡 し ます。 こ の ビ ッ ト が 0 に設定 さ れてい る 場合は、 FCS は ク ラ イ ア ン ト に渡 さ れません。
ど ち ら の場合で も 、 フ レームで FCS フ ィ ール ド が検証 さ れます。
28
1
レ シーバー イ ネーブル。 こ のビ ッ ト が 1 に設定 さ れてい る 場合は、 レ シーバー ブ ロ ッ ク は
動作 し てい ま す。 こ の ビ ッ ト が 0 に設定 さ れてい る 場合は、 ブ ロ ッ ク は、 物理的 イ ン タ ー
フ ェ イ ス の RX ポー ト のア ク テ ィ ビ テ ィ を無視 し ます。
27
0
VLAN イ ネーブル。 こ の ビ ッ ト が 1 に設定 さ れてい る 場合は、 VLAN タ グ フ レームに対 し 、
レ シーバーがデフ ォ ル ト の最大フ レーム サ イ ズ を 1522 に増や し 、VLAN タ グ フ レーム を受
信 し ます。 こ のビ ッ ト が 0 に設定 さ れてい る 場合は、VLAN タ グ フ レームは統計にカ ウ ン ト
さ れず、 デフ ォ ル ト の最大フ レーム サ イ ズは 1518 の ま ま にな り ます。
0
レ シーバー保持プ リ ア ンブル イ ネーブル。 こ の ビ ッ ト が 1 に設定 さ れてい る 場合は、 コ アの
レ シーバーが受信フ レームのプ リ ア ンブル フ ィ ール ド を保持 し ます。こ の ビ ッ ト が 0 に設定
さ れてい る 場合は、 IEEE 規格 802.3-2012 [参照 1]に規定 さ れてい る よ う に、 プ リ ア ン ブル
フ ィ ール ド は破棄 さ れます。
重要 : こ のビ ッ ト は、 ビ ッ ト 22 の イ ンバン ド タ イ ム ス タ ンプ イ ネーブル よ り も 優先 さ れま
す。
25
0
長 さ / タ イ プ エ ラ ー チ ェ ッ ク デ ィ ス エーブル。こ のビ ッ ト が 1 に設定 さ れてい る 場合は、「長
さ / タ イ プ フ ィ ール ド の エ ラ ー チ ェ ッ ク 」 で説明 さ れ て い る よ う に、 コ ア は長 さ / タ イ プ
フ ィ ール ド のエ ラ ー チ ェ ッ ク を実行 し ません。
こ のビ ッ ト が 0 に設定 さ れてい る 場合は、 長 さ / タ イ プ フ ィ ール ド のエ ラ ー チ ェ ッ ク を実行
し ます。 こ れが標準操作です。
24
0
制御フ レーム長 さ チ ェ ッ ク デ ィ ス エーブル。こ のビ ッ ト が 1 に設定 さ れてい る 場合は、MAC
制御フ レームの長 さ が最小値 よ り も 大き い と 、 コ アはフ レーム を不正だ と みな し ません。
23
0
予約
22
0
イ ンバン ド 1588 タ イ ム ス タ ンプ イ ネーブル/予約 :
コ アが 1588 サポー ト な し で生成 さ れてい る 場合、 こ のビ ッ ト は予約 さ れてい ます。 1588 サ
ポー ト には次の も のが含まれてい ます。
0 の場合は、 タ イ ム ス タ ンプはア ウ ト オブバン ド でのみ提供 さ れます。
1 の場合は、 タ イ ム ス タ ンプはア ウ ト オブバン ド だけでな く イ ン ラ イ ンで提供 さ れます。
ビ ッ ト 26 (プ リ ア ンブル保持) は こ のビ ッ ト よ り も 優先 さ れます。
21:16
なし
15:0
すべて 0
30
26
予約
一旦停止フ レーム MAC ア ド レ ス [47:32]。 詳細は、 表 2-41 を参照 し て く だ さ い。
1. 32 ビ ッ ト 操作でのみ使用可能です。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
47
第 2 章 : 製品仕様
表 2‐43 : ト ラ ン ス ミ ッ タ ー コ ン フ ィ ギ ュ レーシ ョ ン ワー ド
ビッ ト
デ フ ォル ト 値
説明
31
0
ト ラ ン ス ミ ッ タ ー リ セ ッ ト 。 こ のビ ッ ト が 1 に設定 さ れてい る 場合は、 ト ラ ン ス ミ ッ タ ーは
リ セ ッ ト さ れてい ます。 こ のビ ッ ト は こ の後、 自動的に 0 に戻 り ます。 こ の リ セ ッ ト に よ り 、
ト ラ ン ス ミ ッ タ コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ も デフ ォ ル ト 値に設定 さ れます。
0
ジ ャ ン ボ フ レ ー ム イ ネ ー ブ ル。 こ の ビ ッ ト が 1 に 設定 さ れ て い る 場合 は、 IEEE 規格
802.3-2012 [参照 1]で指定 さ れて い る 長 さ が最大値 を 超え る フ レ ー ム を コ ア ト ラ ン ス ミ ッ
タ ーは送信 し ます。 こ のビ ッ ト が 0 に設定 さ れてい る 場合は、 コ アは有効な最大値ま での フ
レームのみを送信 し ます。
29
0
イ ンバン ド FCS イ ネーブル。 こ の ビ ッ ト が 1 に設定 さ れてい る 場合は、 「 イ ンバン ド FCS を
渡す送信」 で説明 さ れてい る よ う に、 ク ラ イ ア ン ト がFCS フ ィ ール ド をパ スする も の と コ ア
ト ラ ン ス ミ ッ タ ーが想定 し ま す。 こ の ビ ッ ト が 0 に設定 さ れてい る 場合は、 コ ア ト ラ ン ス
ミ ッ タ ーが必要に応 じ てパ ッ ド を追加 し 、 FCS フ ィ ール ド を計算 し 、 それを フ レームに追加
し ます。
28
1
ト ラ ン ス ミ ッ タ ー イ ネーブル。 こ のビ ッ ト が 1 に設定 さ れてい る 場合は、 ト ラ ン ス ミ ッ タ ー
は動作 し てい ます。こ の ビ ッ ト が 0 に設定 さ れてい る 場合は、 ト ラ ン ス ミ ッ タ ーはデ ィ ス エー
ブルにな り ます。
0
VLAN イ ネーブル。 こ の ビ ッ ト が 1 に設定 さ れてい る 場合は、 ト ラ ン ス ミ ッ タ ーが、 VLAN
タ グ フ レ ーム に対 し 、 デフ ォ ル ト の最大フ レ ーム サ イ ズ を 1522 に増や し 、 VLAN タ グ フ
レーム を送信 し ます。 こ のビ ッ ト が 0 に設定 さ れてい る 場合は、 VLAN タ グ フ レームは統計
にカ ウ ン ト さ れず、 デフ ォ ル ト の最大フ レーム サ イ ズは 1518 の ま ま にな り ます。
0
WAN モー ド イ ネーブル。 こ の ビ ッ ト が 1 に設定 さ れてい る 場合は、 平均デー タ レ ー ト を
OC-192 SONET ペ イ ロ ー ド レー ト (WAN モー ド ) の レー ト に下げ る ため、 ト ラ ン ス ミ ッ タ ー
が自動的に IFG に IDLE を挿入 し ます。 こ のビ ッ ト が 0 に設定 さ れてい る 場合は、 ト ラ ン ス
ミ ッ タ ーが標準 イ ーサネ ッ ト IFG (LAN モー ド ) を 使用 し ま す。 ト ラ ン ス ミ ッ タ ーが WAN
モー ド の場合は、 ジ ャ ン ボ フ レーム を最大 16,384 バ イ ト に制限す る 必要があ り ます。
0
FG 調整 イ ネーブル。 こ の ビ ッ ト が 1 に設定 さ れ て い る 場合は、 フ レ ー ム 送信の開始時に
tx_ifg_delay ポー ト の値を コ アは読み出 し て、IFG を それに合わせて調整 し ます。詳細は、「IFG
の調整」 を参照 し て く だ さ い。
こ のビ ッ ト が 0 に設定 さ れてい る 場合は、 ト ラ ン ス ミ ッ タ ーは IFG の最小値を出力 し ます。
ビ ッ ト [26] (LAN/WAN モー ド ) が 1 に設定 さ れてい る 場合は、 こ のビ ッ ト は無視 さ れます。
0
DIC (Deficit Idle Count) イ ネーブル。 こ のビ ッ ト が 1 に設定 さ れてい る 場合は、 最大デー タ 伝
送レー ト をサポー ト す る ため、 IEEE 規格 803.2-2012 の 46.3.1.4 節のオプシ ョ ン 2 で説明 さ れ
てい る よ う に、 コ アは IFG を短 く し ます。
こ のビ ッ ト が 0 に設定 さ れてい る 場合は、 開始ア ラ イ メ ン ト を維持す る ため、 コ アは IFG を
常に引 き 延ば し ます。
IFG 調整が イ ネーブルにな っ てい る 場合は、 こ の ビ ッ ト は ク リ ア さ れます。
0
ト ラ ン ス ミ ッ タ ー保持プ リ ア ンブル イ ネーブル。 こ の ビ ッ ト が 1 に設定 さ れてい る 場合は、
コ アの ト ラ ン ス ミ ッ タ ーが ク ラ イ ア ン ト イ ン タ ーフ ェ イ ス に出力 さ れてい る カ ス タ ム プ リ
ア ン ブル フ ィ ール ド を 保持 し ま す。 こ の ビ ッ ト が 0 に設定 さ れて い る 場合は、 IEEE 規格
802.3-2012[参照 1] で指定 さ れてい る 標準プ リ ア ンブル フ ィ ール ド が送信 さ れます。
重要 : こ のビ ッ ト は、 ビ ッ ト 22 の イ ンバン ド コ マ ン ド フ ィ ール ド イ ネーブル よ り も 優先 さ
れます。
0
イ ンバン ド 1588 コ マ ン ド フ ィ ール ド イ ネーブル。
0 の場合は、 コ マ ン ド フ ィ ール ド はア ウ ト オブバン ド でのみ提供 さ れます。
1 の場合は、 コ マ ン ド フ ィ ール ド は イ ン ラ イ ンで提供 さ れます。
ビ ッ ト 23 (プ リ ア ンブルの保持) が設定 さ れてい る 場合は、 こ のビ ッ ト よ り も 優先 さ れます。
30
27
26
25
24
23
22
21:0
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
予約
japan.xilinx.com
48
第 2 章 : 製品仕様
表 2‐44 : フ ロー制御 コ ン フ ィ ギ ュ レーシ ョ ン レ ジス タ
ビッ ト
デ フ ォル ト
値
31
なし
説明
予約
1
フ ロ ー制御 イ ネーブル (TX)。 こ の ビ ッ ト が 1 の場合は、 s_axis_pause_tvalid 信号を ア
サー ト す る と 、 ト ラ ン ス ミ ッ タ ーか ら フ ロ ー制御フ レームが出力 さ れます。 こ のビ ッ ト が
0 に設定 さ れてい る 場合は、 s_axis_pause_tvalid 信号を アサー ト し て も 何の効果 も あ
り ません。 こ のモー ド は PFC (ビ ッ ト 26) と 同時に イ ネーブルに し ないで く だ さ い。
29
1
フ ロ ー制御 イ ネーブル (RX)。 こ の ビ ッ ト が 1 に設定 さ れてい る 場合は、 受信フ ロ ー制御フ
レームが 「一旦停止制御フ レームの受信」 で説明 さ れてい る よ う に ト ラ ン ス ミ ッ タ ー操作を
禁止 し ます。 こ の ビ ッ ト が 0 に設定 さ れてい る 場合は、 受信フ ロ ー制御フ レームは常に ク ラ
イ ア ン ト に渡 さ れます。 こ のモー ド は PFC (ビ ッ ト 25) と 同時に イ ネーブルに し ないで く だ
さ い。
28:27
なし
30
予約
0
優先一旦停止フ ロ ー制御 イ ネーブル (TX)。 コ アが PFC サポー ト が選択 さ れてい る 状態で生
成 さ れてい る 場合にのみ存在 し ます。 こ のビ ッ ト が 1 に設定 さ れてい る 場合は、 イ ネーブル
にな っ てい る TX PFC tvalid 信号を アサー ト す る と 、 ト ラ ン ス ミ ッ タ ーか ら PFC フ レームが
送信 さ れ ます。 こ の ビ ッ ト が 0 に設定 さ れてい る 場合は、 TX PFC tvalid 入力は無視 さ れ ま
す。 こ のモー ド はフ ロ ー制御 (TX) (ビ ッ ト 30) と 同時に イ ネーブルに し ないで く だ さ い。
25
0
優先一旦停止フ ロ ー制御 イ ネーブル (RX)。 コ アが PFC サポー ト が選択 さ れてい る 状態で生
成 さ れてい る 場合にのみ存在 し ます。 こ のビ ッ ト が 1 に設定 さ れてい る 場合は、 受信 さ れた
PFC フ レームは、「PFC フ レームの受信」 で説明 さ れてい る よ う に、関連の イ ネーブルにな っ
てい る RX PFC tvalid 出力を アサー ト し ます。 こ のビ ッ ト が 0 に設定 さ れてい る 場合は、 受
信 PFC フ レームは無視 さ れて ク ラ イ ア ン ト に渡 さ れます。 こ のモー ド はフ ロ ー制御 (RX)
(ビ ッ ト 29) と 同時に イ ネーブルに し ないで く だ さ い。
24:21
なし
26
20
1
19:16
なし
予約
TX オー ト XON。PFC サポー ト が選択 さ れてい る 状態で コ アが生成 さ れてい る 場合にのみ存
在 し ます。 PFC がサポー ト さ れていない場合は こ の ビ ッ ト はデフ ォ ル ト で 0 です。 関連の イ
ネーブルにな っ てい る 一旦停止 リ ク エ ス ト が破棄 さ れ る と 、関連量子がゼ ロ に設定 さ れた状
態のフ ロ ー制御ま たは PFC フ レーム (XON フ レーム) を送信 し ます。
予約
15
1
TX 優先度 7 の一旦停止 イ ネーブル。 PFC サポー ト が選択 さ れてい る 状態で コ アが生成 さ れ
てい る 場合にのみ存在 し ます。PFC がサポー ト さ れていない場合は こ のビ ッ ト はデフ ォ ル ト
で 0 です。 こ の ビ ッ ト が 1 に設定 さ れていて TX PFC が イ ネーブルにな っ てい る 場合は、TX
PFC tvalid 信号がアサー ト ま たはデ ィ アサー ト さ れ る と 、 PFC フ レームが送信 さ れます。 こ
のビ ッ ト が 0 に設定 さ れてい る 場合は、 s_axis_tx_pfc_p7_tvalid が無視 さ れます。
14
1
TX 優先度 6 の一旦停止 イ ネーブル。 PFC サポー ト が選択 さ れてい る 状態で コ アが生成 さ れ
てい る 場合にのみ存在 し ます。PFC がサポー ト さ れていない場合は こ のビ ッ ト はデフ ォ ル ト
で 0 です。 ビ ッ ト 15 の機能 と 同等ですが、 s_axis_tx_pfc_p6_tvalid に関連 し てい ます。
13
1
TX 優先度 5 の一旦停止 イ ネーブル。 PFC サポー ト が選択 さ れてい る 状態で コ アが生成 さ れ
てい る 場合にのみ存在 し ます。PFC がサポー ト さ れていない場合は こ のビ ッ ト はデフ ォ ル ト
で 0 です。 ビ ッ ト 15 の機能 と 同等ですが、 s_axis_tx_pfc_p5_tvalid に関連 し てい ます。
12
1
TX 優先度 4 の一旦停止 イ ネーブル。 PFC サポー ト が選択 さ れてい る 状態で コ アが生成 さ れ
てい る 場合にのみ存在 し ます。PFC がサポー ト さ れていない場合は こ のビ ッ ト はデフ ォ ル ト
で 0 です。 ビ ッ ト 15 の機能 と 同等ですが、 s_axis_tx_pfc_p4_tvalid に関連 し てい ます。
11
1
TX 優先度 3 の一旦停止 イ ネーブル。 PFC サポー ト が選択 さ れてい る 状態で コ アが生成 さ れ
てい る 場合にのみ存在 し ます。PFC がサポー ト さ れていない場合は こ のビ ッ ト はデフ ォ ル ト
で 0 です。 ビ ッ ト 15 の機能 と 同等ですが、 s_axis_tx_pfc_p3_tvalid に関連 し てい ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
49
第 2 章 : 製品仕様
表 2‐44 : フ ロー制御 コ ン フ ィ ギ ュ レーシ ョ ン レ ジス タ (続き)
ビッ ト
デ フ ォル ト
値
説明
10
1
TX 優先度 2 の一旦停止 イ ネーブル。 PFC サポー ト が選択 さ れてい る 状態で コ アが生成 さ れ
てい る 場合にのみ存在 し ます。PFC がサポー ト さ れていない場合は こ のビ ッ ト はデフ ォ ル ト
で 0 です。 ビ ッ ト 15 の機能 と 同等ですが、 s_axis_tx_pfc_p2_tvalid に関連 し てい ます。
9
1
TX 優先度 1 の一旦停止 イ ネーブル。 PFC サポー ト が選択 さ れてい る 状態で コ アが生成 さ れ
てい る 場合にのみ存在 し ます。PFC がサポー ト さ れていない場合は こ のビ ッ ト はデフ ォ ル ト
で 0 です。 ビ ッ ト 15 の機能 と 同等ですが、 s_axis_tx_pfc_p1_tvalid に関連 し てい ます。
8
1
TX 優先度 0 の一旦停止 イ ネーブル。 PFC サポー ト が選択 さ れてい る 状態で コ アが生成 さ れ
てい る 場合にのみ存在 し ます。PFC がサポー ト さ れていない場合は こ のビ ッ ト はデフ ォ ル ト
で 0 です。 ビ ッ ト 15 の機能 と 同等ですが、 s_axis_tx_pfc_p0_tvalid に関連 し てい ます。
7
1
RX 優先度 7 の一旦停止 イ ネーブル。 PFC サポー ト が選択 さ れてい る 状態で コ アが生成 さ れ
てい る 場合にのみ存在 し ます。PFC がサポー ト さ れていない場合は こ のビ ッ ト はデフ ォ ル ト
で 0 です。 こ のビ ッ ト が 1 に設定 さ れていて、 RX PFC が イ ネーブルの場合、 「PFC フ レー
ムの受信」 で説明 さ れてい る よ う に、優先度 7 の有効な量子のあ る PFC フ レームの受信は処
理 さ れます。 こ のビ ッ ト が 0 の場合は、 m_axis_rx_pfc_p7_tvalid は 0 の ま ま にな り ます。
6
1
RX 優先度 6 の一旦停止 イ ネーブル。 PFC サポー ト が選択 さ れてい る 状態で コ アが生成 さ れ
てい る 場合にのみ存在 し ます。PFC がサポー ト さ れていない場合は こ のビ ッ ト はデフ ォ ル ト
で 0 です。 ビ ッ ト 7 の機能 と 同等ですが、 m_axis_rx_pfc_p6_tvalid に関連 し てい ます。
5
1
RX 優先度 5 の一旦停止 イ ネーブル。 PFC サポー ト が選択 さ れてい る 状態で コ アが生成 さ れ
てい る 場合にのみ存在 し ます。PFC がサポー ト さ れていない場合は こ のビ ッ ト はデフ ォ ル ト
で 0 です。 ビ ッ ト 7 の機能 と 同等ですが、 m_axis_rx_pfc_p5_tvalid に関連 し てい ます。
4
1
RX 優先度 4 の一旦停止 イ ネーブル。 PFC サポー ト が選択 さ れてい る 状態で コ アが生成 さ れ
てい る 場合にのみ存在 し ます。PFC がサポー ト さ れていない場合は こ のビ ッ ト はデフ ォ ル ト
で 0 です。 ビ ッ ト 7 の機能 と 同等ですが、 m_axis_rx_pfc_p4_tvalid に関連 し てい ます。
3
1
RX 優先度 3 の一旦停止 イ ネーブル。 PFC サポー ト が選択 さ れてい る 状態で コ アが生成 さ れ
てい る 場合にのみ存在 し ます。PFC がサポー ト さ れていない場合は こ のビ ッ ト はデフ ォ ル ト
で 0 です。 ビ ッ ト 7 の機能 と 同等ですが、 m_axis_rx_pfc_p3_tvalid に関連 し てい ます。
2
1
RX 優先度 2 の一旦停止 イ ネーブル。 PFC サポー ト が選択 さ れてい る 状態で コ アが生成 さ れ
てい る 場合にのみ存在 し ます。PFC がサポー ト さ れていない場合は こ のビ ッ ト はデフ ォ ル ト
で 0 です。 ビ ッ ト 7 の機能 と 同等ですが、 m_axis_rx_pfc_p2_tvalid に関連 し てい ます。
1
1
RX 優先度 1 の一旦停止 イ ネーブル。 PFC サポー ト が選択 さ れてい る 状態で コ アが生成 さ れ
てい る 場合にのみ存在 し ます。PFC がサポー ト さ れていない場合は こ のビ ッ ト はデフ ォ ル ト
で 0 です。 ビ ッ ト 7 の機能 と 同等ですが、 m_axis_rx_pfc_p1_tvalid に関連 し てい ます。
0
1
RX 優先度 0 の一旦停止 イ ネーブル。 PFC サポー ト が選択 さ れてい る 状態で コ アが生成 さ れ
てい る 場合にのみ存在 し ます。PFC がサポー ト さ れていない場合は こ のビ ッ ト はデフ ォ ル ト
で 0 です。 ビ ッ ト 7 の機能 と 同等ですが、 m_axis_rx_pfc_p0_tvalid に関連 し てい ます。
表 2‐45 : リ コ ン シ リ エーシ ョ ン サブ レ イヤー (RS) コ ン フ ィ ギ ュ レーシ ョ ン ワー ド
ビッ ト
デ フ ォル ト
値
31
なし
予約
30
なし
予約
29
なし
リ モー ト フ ォ ル ト 受信。 こ のビ ッ ト が 1 に設定 さ れてい る 場合は、 RS が リ モー ト フ ォ ル ト
シーケ ン ス順序セ ッ ト を受信 し てい ます。 読み出 し 専用
28
なし
ロ ーカル フ ォ ル ト 受信。 こ のビ ッ ト が 1 に設定 さ れてい る 場合は、 RS が ロ ーカル フ ォ ル ト
シーケ ン ス順序セ ッ ト を受信 し てい ます。 読み出 し 専用
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
説明
japan.xilinx.com
50
第 2 章 : 製品仕様
表 2‐45 : リ コ ン シ リ エーシ ョ ン サブ レ イヤー (RS) コ ン フ ィ ギ ュ レーシ ョ ン ワー ド (続き)
デ フ ォル ト
値
説明
27
0
フ ォ ル ト 禁止。 こ のビ ッ ト が 0 に設定 さ れてい る 場合、 リ コ ン シ リ エーシ ョ ン サブ レ イ ヤー
(RS) が IEEE 規格 802.3-2012[参照 1] で規定 さ れてい る 順序セ ッ ト を送信 し ます。つま り 、RS
が ロ ーカル フ ォ ル ト の順序セ ッ ト を受信 し てい る と き 、RS は リ モー ト フ ォ ル ト の順序セ ッ
ト を送信 し ます。 リ モー ト フ ォ ル ト の順序セ ッ ト を受信 し てい る と き は、 IDLE コ ー ド ワー
ド を送信 し ます。
こ のビ ッ ト が 1 に設定 さ れてい る 場合は、 フ ォ ル ト 順序セ ッ ト が受信 さ れてい る か ど う かに
関係な く 、 RS は常に コ アか ら 出力 さ れたデー タ を送信 し ます。
26:0
なし
ビッ ト
予約
表 2‐46 : レ シーバー MTU コ ン フ ィ ギ ュ レーシ ョ ン ワー ド
ビッ ト
デ フ ォル ト
値
31:17
なし
16
0
15
なし
14:0
0x05EE
説明
予約
RX MTU イ ネーブル。 こ のビ ッ ト が 1 に設定 さ れてい る 場合は、 「レ シーバーの フ レームの
長 さ の最大値」 で説明 さ れてい る 最大フ レーム サ イ ズ と し て RX MTU サ イ ズの値が使用 さ
れます。 0 に設定 さ れてい る と 、 フ レーム処理は こ れ以外の コ ン フ ィ ギ ュ レーシ ョ ン設定に
基づいて行われます。
予約
RX MTU サ イ ズ。 RX MTU イ ネーブルが 1 に設定 さ れてい る 場合は、 「レ シーバーの フ レー
ム の長 さ の最大値」 で説明 さ れてい る 最大 フ レ ーム サ イ ズ と し て こ の値が使用 さ れ ま す。
RX MTU サ イ ズに対 し ては 1,518 以上の値のみが有効で、 コ アが書 き 込みで こ のサ イ ズ を強
要す る こ と はあ り ません。正 し い コ ア動作を得 る には こ の レ ジ ス タ に有効な値のみが書 き 込
まれてい る こ と を確認 し て く だ さ い。
表 2‐47 : ト ラ ン ス ミ ッ タ ー MTU コ ン フ ィ ギ ュ レーシ ョ ン ワー ド
ビッ ト
デ フ ォル ト
値
31:17
なし
16
0
15
なし
14:0
0x05EE
説明
予約
TX MTU イ ネーブル。 こ のビ ッ ト が 1 に設定 さ れてい る 場合は、「 ト ラ ン ス ミ ッ タ ーの フ レー
ムの長 さ の最大値」で説明 さ れてい る 最大フ レーム サ イ ズ と し て TX MTU サ イ ズの値が使用
さ れ ます。 0 に設定 さ れてい る と 、 フ レーム処理は こ れ以外の コ ン フ ィ ギ ュ レーシ ョ ン設定
に基づいて行われます。
予約
TX MTU サ イ ズ。 TX MTU イ ネーブルが 1 に設定 さ れてい る 場合は、 「 ト ラ ン ス ミ ッ タ ーの
フ レームの長 さ の最大値」 で説明 さ れてい る 最大フ レーム サ イ ズ と し て こ の値が使用 さ れま
す。 TX MTU サ イ ズに対 し ては 1,518 以上の値のみが有効で、 コ アが書 き 込みで こ のサ イ ズ
を強要す る こ と はあ り ません。 正 し い コ ア動作を得る には こ の レ ジ ス タ に有効な値のみが書
き 込まれてい る こ と を確認 し て く だ さ い。
1588 サポー ト が含ま れてい る 場合、 タ イ ム ス タ ンプへの送信レ イ テ ン シ調整の固定部はプ ロ グ ラ マブル レ ジ ス タ で
維持 さ れ ます。 こ れに よ り 、 追加パ イ プ ラ イ ンやボー ド 遅延な ど の外因に対す る フ ィ ール ド 調整が可能にな り ます。
レ ジ ス タ は 表 2-48 で定義 さ れてい ます。 コ アが 1588 サポー ト な し で生成 さ れてい る 場合は、 こ の レ ジ ス タ はあ り ま
せん。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
51
第 2 章 : 製品仕様
表 2‐48 : 送信 タ イムス タ ン プ調整制御レ ジ ス タ
ビッ ト
デ フ ォル ト
値
説明
15:0
0x0080(1)
送信レ イ テ ン シ調整値。 こ の値の単位はナ ノ 秒で、 MAC お よ び PHY コ ン ポーネ ン ト を介 し
て タ イ ム ス タ ンプ デー タ に続 く 遅延を反映 さ せ る ために初期化す る 必要があ り ます。
16
1
送信 タ イ ム ス タ ンプ訂正 イ ネーブル。
0 の場合は、 送信 タ イ ム ス タ ンプが調整 さ れません。
1 の場合は、 送信 タ イ ム ス タ ンプが調整 さ れます。
31:17
0
予約
1. 訂正フ ィ ール ド タ イ マー フ ォーマ ッ ト を使用 し た 1 ス テ ッ プ サポー ト で コ アが生成 さ れてい る 場合は 0x0080、 1588 のその他
すべての場合は 0x0060 です。
表 2‐49 : 優先量子/ リ フ レ ッ シ ュ レ ジ ス タ (0x480/0x49C)
ビ ッ ト デ フ ォル ト
説明
31:16
0xff00
一旦停止量子 リ フ レ ッ シ ュ 値。 こ の レ ジ ス タ は、 コ アのカ ス タ マ イ ズ時に PFC が選択 さ れて
い る 場合にのみ存在 し ます。 イ ネーブルにな っ てい る 場合、 こ の レ ジ ス タ は PFC 量子が新 し
い PFC の送信で リ フ レ ッ シ ュ さ れ る 頻度 を制御 し ま す。 リ フ レ ッ シ ュ が発生す る と 、 ア ク
テ ィ ブ (TX PFX tvalid が High で イ ネーブル) にな っ てい る 優先順位すべてが リ フ レ ッ シ ュ さ
れます。
15:0
0xFFFF
一旦停止量子値。 こ の レ ジ ス タ は、 コ アのカ ス タ マ イ ズ時に PFC が選択 さ れてい る 場合にの
み存在 し ます。 イ ネーブルにな っ てい る 場合、 こ の レ ジ ス タ は、 こ の優先順位で PFC フ レー
ムに量子値を挿入 し ます。
注記 :
1. こ の レ ジ ス タ は、 優先度 0 か ら 7 ま での 8 の優先順位に対 し て繰 り 返 さ れます。
2. こ れ ら の レ ジ ス タ は、 コ アが PFC サポー ト が選択 さ れてい る 状態で生成 さ れてい る 場合にのみ存在 し ます。
3. こ れ ら の レ ジ ス タ は リ セ ッ ト の影響は受けず、 書 き 込ま れた最終値を保持 し ます。
表 2‐50 : レ ガシ一旦停止 リ フ レ ッ シ ュ レ ジ ス タ
ビッ ト
デ フ ォル ト
説明
31:16
0xff00
一旦停止量子 リ フ レ ッ シ ュ 値。 こ の レ ジ ス タ は、 コ アのカ ス タ マ イ ズ時に PFC が選択 さ れ
てい る 場合にのみ存在 し ます。 PFC がサポー ト さ れてい る 場合、 802.3 の一旦停止 リ ク エ ス
ト は 「XON/XOFF の拡張機能」 も サポー ト で き ます。 こ れは自動一旦停止 リ フ レ ッ シ ュ の
頻度を制御 し ます。
15:0
0x0
予約
注記 :
1. こ れ ら の レ ジ ス タ は、 コ アが PFC サポー ト が選択 さ れてい る 状態で生成 さ れてい る 場合にのみ存在 し ます。
2. こ れ ら の レ ジ ス タ は リ セ ッ ト の影響は受けず、 書 き 込ま れた最終値を保持 し ます。
表 2‐51 : バージ ョ ン レ ジ ス タ
ビッ ト
デ フ ォル ト
値
31:24
0x0E
メ ジ ャ ー リ ビ ジ ョ ン。 こ の フ ィ ール ド は コ アの メ ジ ャ ー リ ビ ジ ョ ン を示 し ます。
23:16
0x00
マ イ ナー リ ビ ジ ョ ン。 こ の フ ィ ール ド は コ アのマ イ ナー リ ビ ジ ョ ン を示 し ます。
15:8
なし
予約
7:0
すべて 0
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
説明
パ ッ チ レベル。 こ の フ ィ ール ド は コ アのパ ッ チ ス テー タ ス を示 し ます。 ( こ の値が 0x00 の
場合は、 パ ッ チ バージ ョ ンがない こ と を示 し 、 た と えば値が 0x01 の場合は、 リ ビ ジ ョ ン 1
であ る こ と を示 し ます。 )
japan.xilinx.com
52
第 2 章 : 製品仕様
表 2‐52 : 機能レ ジ ス タ
ビッ ト
デ フ ォル ト
値
31:9
なし
16
0
15:9
なし
8
1
7:4
なし
3
1
ラ イ ン レー ト 10 ギガ ビ ッ ト 。 こ のビ ッ ト は、 10 ギガ ビ ッ ト の ラ イ ン レー ト をサポー ト す
る ための機能が コ アにあ る こ と を示 し ます。
2
0
ラ イ ン レー ト 1 ギガ ビ ッ ト 。 こ のビ ッ ト は、 1 ギガ ビ ッ ト の ラ イ ン レー ト をサポー ト す る
ための機能が コ アにあ る こ と を示 し ます。
1
0
ラ イ ン レー ト 100 メ ガ ビ ッ ト 。 こ のビ ッ ト は、 100 メ ガ ビ ッ ト の ラ イ ン レー ト をサポー ト
す る ための機能が コ アにあ る こ と を示 し ます。
0
0
ラ イ ン レー ト 10 メ ガ ビ ッ ト 。 こ のビ ッ ト は、 10 メ ガ ビ ッ ト の ラ イ ン レー ト をサポー ト す
る ための機能が コ アにあ る こ と を示 し ます。
説明
予約
PFC サポー ト 。 こ のビ ッ ト は、 コ アが PFC サポー ト が選択 さ れてい る 状態で生成 さ れてい
る こ と を示 し てい ます。
予約
統計カ ウ ン タ ー。 こ のビ ッ ト は、 コ アに統計カ ウ ン タ ーがあ る こ と を示 し てい ます。
予約
MDIO レ ジ ス タ
表 2-53 は MIDO レ ジ ス タ の リ ス ト です。 10G PCS/PMA コ アの内部レ ジ ス タ にア ク セ スす る ため、 MDIO ス テー ト マ
シ ン を駆動する のに、 こ れ ら の レ ジ ス タ を使用 し ます。 こ れ ら の レ ジ ス タ の使用については、 「MDIO を介 し て PHY
レ ジ ス タ にア ク セ スす る ための AXI4-Lite イ ン タ ーフ ェ イ ス の使用」 を参照 し て く だ さ い。
表 2‐53 : MDIO コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ
ア ド レ ス (16 進数)
説明
0x500
MDIO コ ン フ ィ ギ ュ レーシ ョ ン ワー ド 0
0x504
MDIO コ ン フ ィ ギ ュ レーシ ョ ン ワー ド 1
0x508
MDIO TX デー タ
0x50C
MDIO RX デー タ (読み出 し 専用)
各 コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ の内容については、 表 2-54 か ら 表 2-57 ま でで説明 さ れてい ます。
表 2‐54 : MDIO コ ン フ ィ ギ ュ レーシ ョ ン ワー ド 0
ビッ ト
デ フ ォル ト
値
31:7
なし
6
0
5:0
すべて 0
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
説明
予約
MDIO イ ネーブル。 こ のビ ッ ト が 1 に設定 さ れてい る 場合は、 接続 さ れてい る PHY デバ イ ス
にア ク セ スす る ために、 MDIO イ ン タ ーフ ェ イ ス を使用で き ます。 こ のビ ッ ト が 0 に設定 さ
れてい る 場合は、MDIO イ ン タ ーフ ェ イ ス はデ ィ ス エーブルにな り 、MDIO 信号は非ア ク テ ィ
ブの ま ま と な り ます。
ク ロ ッ ク 分周。 MDC 信号を 2.5MHz で生成する ために 分周値 と し て使用 し ます。
japan.xilinx.com
53
第 2 章 : 製品仕様
表 2‐55 : MDIO コ ン フ ィ ギ ュ レーシ ョ ン ワー ド 1
ビッ ト
デ フ ォル ト
値
31:29
なし
28:24
すべて 0
23:21
なし
20:16
すべて 0
15:14
0
13:12
なし
予約
10:8
なし
予約
11
0
開始。 こ のビ ッ ト に 1 が書 き込まれてい る場合、 MDIO レデ ィ が 1 の と き に MDIO ト ラ ンザ
ク シ ョ ンが開始 し ます。 保留の ト ラ ンザ ク シ ョ ンが完了する と 、 自動的に こ の ビ ッ ト は 0 に
な り ます。
7
1
MDIO レデ ィ 。 こ の ビ ッ ト が 1 に設定 さ れてい る 場合は、 MDIO マ ス タ ーが MDIO ト ラ ンザ
ク シ ョ ンに対 し 準備完了 し てい る 状態です。 こ のビ ッ ト が 0 に設定 さ れてい る 場合は、MDIO
マ ス タ ーは ト ラ ンザ ク シ ョ ン処理中で、 保留 ト ラ ンザ ク シ ョ ンが完了する と ビ ッ ト は 1 にな
り ます。 こ のビ ッ ト は読み出 し 専用です。
6:0
なし
説明
予約
PRTAD。 MDIO ト ラ ンザ ク シ ョ ンのポー ト ア ド レ ス
予約
DEVAD。 MDIO ト ラ ンザ ク シ ョ ンのデバ イ ス ア ド レ ス
TX OP。 MDIO ト ラ ンザ ク シ ョ ンの Opcode。
予約
表 2‐56 : MDIO TX デー タ
ビッ ト
デ フ ォル ト
値
31:16
なし
15:0
すべて 0
説明
予約
MDIO TX デー タ 。 MDIO 書 き 込みデー タ 。 opcode に基づいたデバ イ ス のア ド レ ス に も な り
ます。
表 2‐57 : MDIO RX デー タ
ビッ ト
デ フ ォル ト
値
31:17
なし
16
1
15:0
すべて 0
説明
予約
MDIO レデ ィ 。 こ の ビ ッ ト が 1 に設定 さ れてい る 場合は、 MDIO マ ス タ ーが MDIO ト ラ ンザ
ク シ ョ ンに対 し 準備完了 し てい る 状態です。こ のビ ッ ト が 0 に設定 さ れてい る 場合は、MDIO
マ ス タ ーは ト ラ ンザ ク シ ョ ン処理中で、 保留 ト ラ ンザ ク シ ョ ンが完了する と ビ ッ ト は 1 にな
り ます。 こ のビ ッ ト は読み出 し 専用です。
MDIO RX デー タ 。 MDIO 読み出 し デー タ 。
割 り 込み出力レ ジ ス タ
保留の MDIO ト ラ ンザ ク シ ョ ン が完了す る と 、 コ アは割 り 込みを アサー ト し ます。 割 り 込み イ ネーブル レ ジ ス タ を
介 し て イ ネーブルにな っ てい る 場合は、 xgmacint の立ち上が り エ ッ ジで、 MDIO ト ラ ンザ ク シ ョ ンが完了 し ます。 さ
ら に、 ト ラ ンザ ク シ ョ ンが MDIO 読み出 し だっ た場合は、 MDIO RX デー タ は管理レ ジ ス タ 0x50C にあ り ます。 割 り
込みは自己消去 し ないので、 新 し い MDIO ト ラ ンザ ク シ ョ ン を開始す る 前に、 割 り 込みを消去す る ため、 割 り 込み肯
定応答を出力す る 必要があ り ます。 表 2-58 には割 り 込みレ ジ ス タ が リ ス ト さ れてい ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
54
第 2 章 : 製品仕様
表 2‐58 : 割 り 込みレ ジ ス タ
ア ド レ ス デ フ ォル ト
(16 進数)
値
説明
0x600
0x00
割 り 込みス テー タ ス レ ジ ス タ 。 割 り 込みの ス テー タ ス を示 し ます。 関連ビ ッ ト 位置に直接
0 を書 き 込む こ と に よ り 、 アサー ト さ れた割 り 込みはすべて消去で き ます。
0x610
0x00
割 り 込み保留レ ジ ス タ 。 割 り 込みの保留 ス テー タ ス を示 し ま す。 こ の レ ジ ス タ の任意ビ ッ
ト に 1 を書 き 込む こ と に よ り 、 その割 り 込みを消去で き ます。 IER お よ び ISR の対応ビ ッ
ト が設定 さ れてい る 場合にのみ、 こ の レ ジ ス タ の ビ ッ ト は設定 さ れます。
0x620
0x00
割 り 込み イ ネーブル レ ジ ス タ 。 割 り 込みの イ ネーブル ス テー ト を示 し ます。 任意ビ ッ ト に
1 を書 き 込む こ と に よ り 、 その割 り 込みを イ ネーブルにで き ます。
0x630
0x00
割 り 込み肯定応答レ ジ ス タ 。 (書 き 込み専用) こ の レ ジ ス タ の任意ビ ッ ト に 1 を書 き 込む こ
と に よ り 、 その割 り 込みを消去で き ます。
MDIO ト ラ ンザ ク シ ョ ンが完了 し た こ と を示すには、 すべての割 り 込みレ ジ ス タ の ビ ッ ト [0] を使用 し ます。 ビ ッ ト
[31:1] は予約 さ れてい ます。
PCS/PMA レ ジ ス タ マ ッ プ
こ の コ アは、 IEEE 802.3 第 45 節で詳 し く 説明 さ れてい る レ ジ ス タ を イ ンプ リ メ ン ト し ます。 コ アが MDIO イ ン タ ー
フ ェ イ ス な し で生成 さ れてい る 場合、 こ れ ら の レ ジ ス タ は イ ンプ リ メ ン ト さ れ る のですが、 一般的に コ アの コ ン フ ィ
ギ ュ レーシ ョ ン ピ ン ま たは ス テー タ ス ピ ンのみを使用 し て イ ンプ リ メ ン ト さ れます。 た と えば、 レ ジ ス タ 1.0、 ビ ッ
ト 15 (PMA リ セ ッ ト ) は コ ン フ ィ ギ ュ レ ーシ ョ ン ベ ク タ ーの ビ ッ ト 15 と し て イ ン プ リ メ ン ト さ れ、 レ ジ ス タ 1.1、
ビ ッ ト 7 (PMA/PMD フ ォ ル ト ) は ス テー タ ス ベ ク タ ーの ビ ッ ト 23 と し て イ ン プ リ メ ン ト さ れます。 こ れ ら のマ ッ ピ
ン グについては、 「PCS/PMA コ ン フ ィ ギ ュ レーシ ョ ンお よ びス テー タ ス信号」 を参照 し て く だ さ い。
コ アが 10GBASE-R PCS/PMA と し て コ ン フ ィ ギ ュ レー ト さ れてい る 場合、 表 2-59 に示す よ う に、 MDIO レ ジ ス タ ア
ド レ ス マ ッ プの MDIO デバ イ ス ア ド レ ス の 1 お よ び 3 を コ アが占め ます。
表 2‐59 : 10GBASE‐R PCS/PMA MDIO レ ジ ス タ
レ ジ ス タ ア ド レ ス
レ ジス タ 名
1.0
「MDIO レ ジ ス タ 1.0 :PMA/PMD 制御 1」
1.1
「MDIO レ ジ ス タ 1.1 : PMA/PMD ス テー タ ス 1」
1.4
「MDIO レ ジ ス タ 1.4 : PMA/PMD ス ピー ド 機能」
1.5、 1.6
「MDIO レ ジ ス タ 1.5 お よ び 1.6 : PMA/PMD デバ イ ス イ ン パ ッ ケージ」
1.7
「MDIO レ ジ ス タ 1.7 : 10G PMA/PMD 制御 2」
1.8
「MDIO レ ジ ス タ 1.8 : 10G PMA/PMD ス テー タ ス 2」
1.9
「MDIO レ ジ ス タ 1.9 : 10G PMD 送信デ ィ ス エーブル」
1.10
「MDIO レ ジ ス タ 1.10 : 10G PMD 信号受信 OK」
1.11 ~ 1.65534
予約
1.65535
「MDIO レ ジ ス タ 1.65535 : コ ア バージ ョ ン情報」
3.0
「MDIO レ ジ ス タ 3.0 : PCS 制御 1」
3.1
「MDIO レ ジ ス タ 3.1 : PCS ス テー タ ス 1」
3.4
「MDIO レ ジ ス タ 3.4 : PCS ス ピー ド 機能」
3.5、 3.6
「MDIO レ ジ ス タ 3.5 お よ び 3.6 : PCS デバ イ ス イ ン パ ッ ケージ」
3.7
「MDIO レ ジ ス タ 3.7 : 10G PCS 制御 2」
3.8
「MDIO レ ジ ス タ 3.8 : 10G PCS ス テー タ ス 2」
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
55
第 2 章 : 製品仕様
表 2‐59 : 10GBASE‐R PCS/PMA MDIO レ ジ ス タ (続き)
レ ジ ス タ ア ド レ ス
レ ジス タ 名
3.9 ~ 3.31
予約
3.32
「MDIO レ ジ ス タ 3.32 : 10GBASE-R ス テー タ ス 1」
3.33
「MDIO レ ジ ス タ 3.33 : 10GBASE-R ス テー タ ス 2」
3.34 ~ 37
「MDIO レ ジ ス タ 3.34–37 : 10GBASE-R テ ス ト パ タ ーン シー ド A0–3」
3.38 ~ 41
「MDIO レ ジ ス タ 3.38-41 : 10GBASE-R テ ス ト パ タ ーン シー ド B0–3」
3.42
「MDIO レ ジ ス タ 3.42 : 10GBASE-R テ ス ト パ タ ーン制御」
3.43
「MDIO レ ジ ス タ 3.43 : 10GBASE-R テ ス ト パ タ ーン エ ラ ー カ ウ ン タ ー」
3.44 ~ 3.65519
予約
3.65520
「MDIO レ ジ ス タ 3.65520 : IEEE 1588 制御」
3.65521
「MDIO レ ジ ス タ 3.65521 : RX 固定レ イ テ ン シ (整数 ns)」
3.65522
「MDIO レ ジ ス タ 3.65522 : RX 固定レ イ テ ン シ (分数 ns)」
3.65523 ~ 3.65534
予約
3.65535
「MDIO レ ジ ス タ 3.65535 : 125 ミ ク ロ 秒 タ イ マー制御」
コ アが 10GBASE-KR PCS/PMA と し て コ ン フ ィ ギ ュ レー ト さ れてい る 場合、 表 2-60 に示す よ う に、 MDIO レ ジ ス タ
ア ド レ ス マ ッ プの MDIO デバ イ ス ア ド レ ス の 1 お よ び 3、 そ し てオプシ ョ ンで 7 を コ アが占め ます。
表 2‐60 : 10GBASE‐KR PCS/PMA レ ジ ス タ
レ ジ ス タ ア ド レ ス
レ ジス タ 名
1.0
「MDIO レ ジ ス タ 1.0 :PMA/PMD 制御 1」
1.1
「MDIO レ ジ ス タ 1.1 : PMA/PMD ス テー タ ス 1」
1.4
「MDIO レ ジ ス タ 1.4 : PMA/PMD ス ピー ド 機能」
1.5、 1.6
「MDIO レ ジ ス タ 1.5 お よ び 1.6 : PMA/PMD デバ イ ス イ ン パ ッ ケージ」
1.7
「MDIO レ ジ ス タ 1.7 : 10G PMA/PMD 制御 2」
1.8
「MDIO レ ジ ス タ 1.8 : 10G PMA/PMD ス テー タ ス 2」
1.9
「MDIO レ ジ ス タ 1.9 : 10G PMD 送信デ ィ ス エーブル」
1.10
「MDIO レ ジ ス タ 1.10 : 10G PMD 信号受信 OK」
1.11 ~ 1.149
予約
1.150
「MDIO レ ジ ス タ 1.150 : 10GBASE-KR PMD 制御」
1.151
「MDIO レ ジ ス タ 1.151 : 10GBASE-KR PMD ス テー タ ス」
1.152
「MDIO レ ジ ス タ 1.152 : 10GBASE-KR LP 係数ア ッ プデー ト 」
1.153
「MDIO レ ジ ス タ 1.153 : 10GBASE-KR LP ス テー タ ス」
1.154
「MDIO レ ジ ス タ 1.154 : 10GBASE-KR LD 係数ア ッ プデー ト 」
1.155
「MDIO レ ジ ス タ 1.155 : 10GBASE-KR LD ス テー タ ス」
1.170
「MDIO レ ジ ス タ 1.170 : 10GBASE-R FEC 機能」 (1)
1.171
「MDIO レ ジ ス タ 1.171 : 10GBASE-R FEC 制御」 (1)
1.172 ~ 1.173
「MDIO レ ジ ス タ 1.172 : 10GBASE-R FEC 訂正ブ ロ ッ ク (下位)」 (1)
「MDIO レ ジ ス タ 1.173 : 10GBASE-R FEC 訂正ブ ロ ッ ク (上位)」 (1)
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
56
第 2 章 : 製品仕様
表 2‐60 : 10GBASE‐KR PCS/PMA レ ジ ス タ (続き)
レ ジ ス タ ア ド レ ス
レ ジス タ 名
1.174 ~ 1.175
「MDIO レ ジ ス タ 1.174 : 10GBASE-R FEC 未訂正ブ ロ ッ ク (下位)」 (1)
「MDIO レ ジ ス タ 1.175 : 10GBASE-R FEC 未訂正ブ ロ ッ ク (上位)」 (1)
1.176 ~ 1.65519
予約
1.65520
「MDIO レ ジ ス タ : 1.65520 : ベン ダー別 LD ト レ ーニ ン グ」 ( ロ ーカル デバ イ ス 係数
ア ッ プデー ト が ト レーニ ン グ アルゴ リ ズ ムに よ り 書 き 込まれ る ベン ダー別の レ ジ ス
タ)
1.65521 ~ 1.65534
予約
1.65535
「MDIO レ ジ ス タ 1.65535 : コ ア バージ ョ ン情報」
3.0
「MDIO レ ジ ス タ 3.0 : PCS 制御 1」
3.1
「MDIO レ ジ ス タ 3.1 : PCS ス テー タ ス 1」
3.4
「MDIO レ ジ ス タ 3.4 : PCS ス ピー ド 機能」
3.5、 3.6
「MDIO レ ジ ス タ 3.5 お よ び 3.6 : PCS デバ イ ス イ ン パ ッ ケージ」
3.7
「MDIO レ ジ ス タ 3.7 : 10G PCS 制御 2」
3.8
「MDIO レ ジ ス タ 3.8 : 10G PCS ス テー タ ス 2」
3.9 ~ 3.31
予約
3.32
「MDIO レ ジ ス タ 3.32 : 10GBASE-R ス テー タ ス 1」
3.33
「MDIO レ ジ ス タ 3.33 : 10GBASE-R ス テー タ ス 2」
3.34-37
「MDIO レ ジ ス タ 3.34–37 : 10GBASE-R テ ス ト パ タ ーン シー ド A0–3」
3.38-41
「MDIO レ ジ ス タ 3.38-41 : 10GBASE-R テ ス ト パ タ ーン シー ド B0–3」
3.42
「MDIO レ ジ ス タ 3.42 : 10GBASE-R テ ス ト パ タ ーン制御」
3.43
「MDIO レ ジ ス タ 3.43 : 10GBASE-R テ ス ト パ タ ーン エ ラ ー カ ウ ン タ ー」
3.44 ~ 3.65519
予約
3.65520
「MDIO レ ジ ス タ 3.65520 : IEEE 1588 制御」
3.65521
「MDIO レ ジ ス タ 3.65521 : RX 固定レ イ テ ン シ (整数 ns)」
3.65522
「MDIO レ ジ ス タ 3.65522 : RX 固定レ イ テ ン シ (分数 ns)」
3.65523 ~ 3.65534
予約
3.65535
「MDIO レ ジ ス タ 3.65535 : 125 ミ ク ロ 秒 タ イ マー制御」
7.0
「MDIO レ ジ ス タ 7.0 : AN 制御」 (2)
7.1
「MDIO レ ジ ス タ 7.1 : AN ス テー タ ス」 (2)
7.16、 17、 18
「MDIO レ ジ ス タ 7.16–37 : AN 通知」 (2)
7.19、 20、 21
「MDIO レ ジ ス タ 7.19、 20、 21 : AN LP 基本ページ機能」 (2)
7.22、 23、 24
「MDIO レ ジ ス タ 7.22、 23、 24 : AN XNP 送信」 (2)
7.25、 26、 27
「MDIO レ ジ ス タ 7.25、 26、 27 : AN LP XNP 機能」 (2)
7.48
「MDIO レ ジ ス タ 7.48 : パ ッ ク プ レーン イ ーサネ ッ ト ス テー タ ス」 (2)
1. オプシ ョ ンの FEC ブ ロ ッ ク のあ る コ アの場合
2. オプシ ョ ンの AN ブ ロ ッ ク のあ る コ アの場合
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
57
第 2 章 : 製品仕様
MDIO レ ジ ス タ 1.0 :PMA/PMD 制御 1
表 2-61 は PMA 制御 1 レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐61 : PMA/PMD 制御 1 レ ジス タ
ビッ ト
名前
説明
1.0.15
リ セッ ト
1 = ブロ ッ ク リ セッ ト
0 = 通常動作
こ の ビ ッ ト が 1 の と き 10GBASE-R/KR ブ ロ ッ ク が
リ セ ッ ト さ れます。 リ セ ッ ト が完了する と 0 を返 し
ます。
1.0.14
予約
1.0.13
属性
デ フ ォル
ト
値
R/W
自己消去
0
こ のビ ッ ト に対 し てはブ ロ ッ ク は常に 0 に戻 り 、 書
き 込みを無視 し ます。
R/O
0
ス ピー ド 選択
こ のビ ッ ト に対 し てはブ ロ ッ ク は常に 1 に戻 り 、 書
き 込みを無視 し ます。
R/O
1
1.0.12
予約
こ のビ ッ ト に対 し てはブ ロ ッ ク は常に 0 に戻 り 、 書
き 込みを無視 し ます。
R/O
0
1.0.11
パ ワー ダ ウ ン
こ のビ ッ ト に効力はあ り ません。
R/W
0
1.0.10:7
予約
こ れ ら の ビ ッ ト に対 し て はブ ロ ッ ク は常に 0 に戻
り 、 書き 込みを無視 し ます。
R/O
すべて 0
1.0.6
ス ピー ド 選択
こ のビ ッ ト に対 し てはブ ロ ッ ク は常に 1 に戻 り 、 書
き 込みを無視 し ます。
R/O
1
1.0.5:2
ス ピー ド 選択
こ れ ら の ビ ッ ト に対 し て はブ ロ ッ ク は常に 0 に戻
り 、 書き 込みを無視 し ます。
R/O
すべて 0
1.0.1
予約
こ のビ ッ ト に対 し てはブ ロ ッ ク は常に 0 に戻 り 、 書
き 込みを無視 し ます。
R/O
すべて 0
1.0.0
ループバ ッ ク
1 = PMA ループバ ッ ク モー ド を イ ネーブル (近端)
0 = PMA ループバ ッ ク モー ド をデ ィ ス エーブル
R/W
0
説明
属性
デ フ ォル
ト値
MDIO レ ジ ス タ 1.1 : PMA/PMD ス テー タ ス 1
表 2-62 は PMA/PMD ス テー タ ス 1 レ ジ ス タ ビ ッ ト 定義を示 し てい ます。
表 2‐62 : PMA/PMD ス テー タ ス 1 レ ジ ス タ
ビッ ト
名前
1.1.15:8
予約
こ の ビ ッ ト に対 し て はブ ロ ッ ク は常に 0 を 返 し ま
す。
R/O
0
1.1.7
ロ ーカル フ ォ ル ト
1 = ロ ーカル フ ォ ル ト 検出
R/O
0
1.1.6:3
予約
こ の ビ ッ ト に対 し て はブ ロ ッ ク は常に 0 を 返 し ま
す。
R/O
0
1.1.2
受信 リ ン ク ス テー タ
ス
1 = 受信 リ ン ク ア ッ プ
R/O
Low に
ラ ッチ
1
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
58
第 2 章 : 製品仕様
表 2‐62 : PMA/PMD ス テー タ ス 1 レ ジ ス タ (続き)
ビッ ト
名前
説明
属性
デ フ ォル
ト値
1.1.1
パ ワー ダ ウ ン機能
こ の ビ ッ ト に対 し て はブ ロ ッ ク は常に 1 を 返 し ま
す。
R/O
1
1.1.0
予約
こ の ビ ッ ト に対 し て はブ ロ ッ ク は常に 0 を 返 し ま
す。
R/O
0
説明
属性
デ フ ォル
ト値
MDIO レ ジ ス タ 1.4 : PMA/PMD ス ピー ド 機能
表 2-63 は PMA/PMD ス ピー ド 機能レ ジ ス タ ビ ッ ト 定義を示 し てい ます。
表 2‐63 : PMA/PMD ス ピー ド 機能レ ジス タ
ビッ ト
名前
1.4.15:1
予約
こ れ ら の ビ ッ ト に対 し て はブ ロ ッ ク は常に 0 に戻
り 、 書き 込みを無視 し ます。
R/O
すべて 0
1.4.0
10G 対応
こ のビ ッ ト に対 し てはブ ロ ッ ク は常に 1 に戻 り 、 書
き 込みを無視 し ます。
R/O
1
説明
属性
デ フ ォル
ト値
MDIO レ ジ ス タ 1.5 および 1.6 : PMA/PMD デバイ ス イ ン パ ッ ケージ
表 2-64 は PMA/PMD デバ イ ス イ ン パ ッ ケージ レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐64 : PMA/PMD デバイ ス イ ン パ ッ ケージ レ ジ ス タ
ビッ ト
名前
1.6.15
ベン ダー別
デバ イ ス 2 あ り
こ の ビ ッ ト に対 し て はブ ロ ッ ク は常に 0 を 返 し ま
す。
R/O
0
1.6.14
ベン ダー別
デバ イ ス 1 あ り
こ の ビ ッ ト に対 し て はブ ロ ッ ク は常に 0 を 返 し ま
す。
R/O
0
1.6.13
第 22 節用
拡張あ り
こ の ビ ッ ト に対 し て はブ ロ ッ ク は常に 1 を 返 し ま
す。
R/O
1
1.6.12:0
予約
こ れ ら のビ ッ ト に対 し てはブ ロ ッ ク は常に 0 を返 し
ます。
R/O
すべて 0
1.5.15:8
予約
こ れ ら のビ ッ ト に対 し てはブ ロ ッ ク は常に 0 を返 し
ます。
R/O
すべて 0
1.5.7
オー ト ネ ゴ シエー
ション
あり
1 = オプシ ョ ンの AN ブ ロ ッ ク が含まれてい る
R/O
1
1.5.6
TC あ り
こ の ビ ッ ト に対 し て はブ ロ ッ ク は常に 0 を 返 し ま
す。
R/O
0
1.5.5
DTE XS あ り
こ の ビ ッ ト に対 し て はブ ロ ッ ク は常に 0 を 返 し ま
す。
R/O
0
1.5.4
PHY XS あ り
こ の ビ ッ ト に対 し て はブ ロ ッ ク は常に 0 を 返 し ま
す。
R/O
0
1.5.3
PCS あ り
こ の ビ ッ ト に対 し て はブ ロ ッ ク は常に 1 を 返 し ま
す。
R/O
1
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
59
第 2 章 : 製品仕様
表 2‐64 : PMA/PMD デバイ ス イ ン パ ッ ケージ レ ジ ス タ (続き)
ビッ ト
名前
説明
属性
デ フ ォル
ト値
1.5.2
WIS あ り
こ の ビ ッ ト に対 し て はブ ロ ッ ク は常に 0 を 返 し ま
す。
R/O
0
1.5.1
PMA/PMD あ り
こ の ビ ッ ト に対 し て はブ ロ ッ ク は常に 1 を 返 し ま
す。
R/O
1
1.5.0
第 22 節デバ イ ス
あり
こ の ビ ッ ト に対 し て はブ ロ ッ ク は常に 0 を 返 し ま
す。
R/O
0
説明
属性
デ フ ォル
ト値
こ れ ら の ビ ッ ト に対 し て はブ ロ ッ ク は常に 0 に戻
り 、 書き 込みを無視 し ます。
R/O
すべて 0
説明
属性
デ フ ォル
ト値
MDIO レ ジ ス タ 1.7 : 10G PMA/PMD 制御 2
表 2-65 は PMA/PMD 制御 2 レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐65 : 10G PMA/PMD 制御 2 レ ジ ス タ
ビッ ト
1.7.15:4
名前
予約
MDIO レ ジ ス タ 1.8 : 10G PMA/PMD ス テー タ ス 2
表 2-66 は PMA/PMD ス テー タ ス 2 レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐66 : 10G PMA/PMD ス テー タ ス 2 レ ジ ス タ
ビッ ト
名前
1.8.15:14
デバ イ ス あ り
こ れ ら の ビ ッ ト に対 し てはブ ロ ッ ク は常に 10 を返
し ます。
R/O
10
1.8.13
送信 ロ ーカル フ ォ ル
ト 機能
こ の ビ ッ ト に対 し て はブ ロ ッ ク は常に 1 を 返 し ま
す。
R/O
1
1.8.12
受信 ロ ーカル フ ォ ル
ト 機能
こ の ビ ッ ト に対 し て はブ ロ ッ ク は常に 1 を 返 し ま
す。
R/O
1
1.8.11
送信フ ォ ル ト
1 = 送信フ ォ ル ト 検出
High に保
持
0
1.8.10
受信フ ォ ル ト
1 = 受信フ ォ ル ト 検出
High に保
持
0
1.8.9
拡張機能
こ の ビ ッ ト に対 し て はブ ロ ッ ク は常に 1 を 返 し ま
す。
R/O
1
1.8.8
PMD
送信デ ィ ス
エーブル機能
こ の ビ ッ ト に対 し て はブ ロ ッ ク は常に 1 を 返 し ま
す。
R/O
1
1.8.6
10GBASE-LR 機能
こ の ビ ッ ト に対 し て はブ ロ ッ ク は常に 0 を 返 し ま
す。
R/O
0
1.8.4
10GBASE-LX4 機能
こ の ビ ッ ト に対 し て はブ ロ ッ ク は常に 0 を 返 し ま
す。
R/O
0
1.8.3
10GBASE-SW 機能
こ の ビ ッ ト に対 し て はブ ロ ッ ク は常に 0 を 返 し ま
す。
R/O
0
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
60
第 2 章 : 製品仕様
表 2‐66 : 10G PMA/PMD ス テー タ ス 2 レ ジ ス タ (続き)
ビッ ト
名前
説明
属性
デ フ ォル
ト値
1.8.2
10GBASE-LW 機能
こ の ビ ッ ト に対 し て はブ ロ ッ ク は常に 0 を 返 し ま
す。
R/O
0
1.8.1
10GBASE-EW 機能
こ の ビ ッ ト に対 し て はブ ロ ッ ク は常に 0 を 返 し ま
す。
R/O
0
1.8.0
PMA ル ー プ バ ッ ク
機能
こ の ビ ッ ト に対 し て はブ ロ ッ ク は常に 1 を 返 し ま
す。
R/O
1
説明
属性
デ フ ォル
ト値
MDIO レ ジ ス タ 1.9 : 10G PMD 送信デ ィ ス エーブル
表 2‐67 : 10G PMD 送信デ ィ ス エーブル レ ジ ス タ
ビッ ト
名前
1.9.15:1
予約
こ れ ら の ビ ッ ト に対 し て はブ ロ ッ ク は常に 0 に戻
り 、 書き 込みを無視 し ます。
R/O
すべて 0
1.9.0
グ ロ ーバル PMD 送
信デ ィ ス エーブル
1 = 送信パ ス をデ ィ ス エーブル (transmit_disable ピ ン
も 設定)
0 = 送信パス を イ ネーブル
R/W
0
説明
属性
デ フ ォル
ト値
MDIO レ ジ ス タ 1.10 : 10G PMD 信号受信 OK
表 2-68 は PMD 信号受信 OK レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐68 : 10G PMD 信号受信 OK レ ジ ス タ
ビッ ト
名前
1.10.15:1
予約
こ れ ら のビ ッ ト に対 し てはブ ロ ッ ク は常に 0 を返 し
ます。
R/O
0s
1.10.0
グ ロ ー バ ル PMD 受
信信号検出
1 = 受信で信号検出 さ れ る
0 = 受信で信号検出 さ れない
R/O
なし
説明
属性
デ フ ォル
ト値
MDIO レ ジ ス タ 1.150 : 10GBASE‐KR PMD 制御
表 2-69 は 10GBASE-KR PMD 制御レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐69 : 10GBASE‐KR PMD 制御レ ジス タ
ビッ ト
名前
1.150.15:2
予約
こ のビ ッ ト に対 し てはブ ロ ッ ク は常に 0 に戻 り 、 書
き 込みを無視 し ます。
R/O
0
1.150.1
ト レーニ ン グ イ ネー
ブル
1 = 10GBASE-KR ス タ ー ト ア ッ プ プ ロ ト コ ル を イ
ネーブル
0 = デ ィ ス エーブル
R/W
0
ト レーニ ン グの再開
1 = 10GBASE-KR ス タ ー ト ア ッ プ プ ロ ト コ ル を リ
セッ ト
0 = 通常動作
R/W
自己消去
0
1.150.0
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
61
第 2 章 : 製品仕様
MDIO レ ジ ス タ 1.151 : 10GBASE‐KR PMD ス テー タ ス
表 2-70 は 10GBASE-KR PMD ス テー タ ス レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐70 : 10GBASE‐KR PMD ス テー タ ス レ ジ ス タ
ビッ ト
名前
説明
属性
デ フ ォル
ト値
1.151.15:4
予約
こ のビ ッ ト に対 し てはブ ロ ッ ク は常に 0 に戻 り 、 書
き 込みを無視 し ます。
R/O
0
1.151.3
ト レーニ ン グ エ ラ ー
1 = ト レーニ ン グ エ ラ ー検出
0 = 検出な し
R/O
0
1.151.2
ス ター ト ア ッ プ プロ
ト コ ル ス テー タ ス
1 = ス タ ー ト ア ッ プ プ ロ ト コ ル実行中
0 = プ ロ ト コ ル完了
R/O
0
1.151.1
フ レーム ロ ッ ク
1 = ト レーニ ン グ フ レームの境界を検出
0 = 検出な し
R/O
0
1.151.0
レ シーバー ス テー タ
ス
1 = レ シーバーが ト レ イ ン さ れ、 デー タ 受信準備完
了
0 = レ シーバーを ト レ イ ン中
R/O
0
説明
属性
デ フ ォル
ト値
R/O
0
MDIO レ ジ ス タ 1.152 : 10GBASE‐KR LP 係数ア ッ プデー ト
表 2-71 は 10GBASE-KR LP 係数ア ッ プデー ト レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐71 : 10GBASE‐KR LP 係数ア ッ プデー ト レ ジ ス タ
ビッ ト
名前
1.152.15:14
予約
こ のビ ッ ト に対 し てはブ ロ ッ ク は常に 0 に戻 り 、 書
き 込みを無視 し ます。
1.152.13
プ リ セッ ト
1 = プ リ セ ッ ト 係数
0 = 通常動作
R/W(1)
0
1.152.12
初期化
1 = 初期化係数
0 = 通常動作
R/W(1)
0
1.152.11:6
予約
こ のビ ッ ト に対 し てはブ ロ ッ ク は常に 0 に戻 り 、 書
き 込みを無視 し ます。
R/O
0s
1.152.5:4
係数 (+1) ア ッ プデー
ト
5:4 = 11 = 予約
10 = デ ク リ メ ン ト
01 = イ ン ク リ メ ン ト
00 = 保持
R/W(1)
00
1.152.3:2
係数 (0) ア ッ プ デー
ト
3:2 = 11 = 予約
10 = デ ク リ メ ン ト
01 = イ ン ク リ メ ン ト
00 = 保持
R/W(1)
00
1.152.1:0
係数 (-1) ア ッ プデー
ト
1:0 = 11 = 予約
10 = デ ク リ メ ン ト
01 = イ ン ク リ メ ン ト
00 = 保持
R/W(1)
00
1. レ ジ ス タ 1.150.1 = 0 の場合のみ書 き 込み可能
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
62
第 2 章 : 製品仕様
MDIO レ ジ ス タ 1.153 : 10GBASE‐KR LP ス テー タ ス
表 2-72 は 10GBASE-KR LP ス テー タ ス レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐72 : 10GBASE‐KR LP ス テー タ ス レ ジス タ
名前
説明
属性
デ フ ォル
ト値
1.153.15
レ シーバー準備完了
1 = ト レーニ ン グが完了 し デー タ 受信の準備が完了
し た と LP レ シーバーが判断
0 = ト レ ーニ ン グ が続行す る よ う LP レ シーバーが
リ クエス ト 中
R/O
0
1.153.14:6
予約
こ のビ ッ ト に対 し てはブ ロ ッ ク は常に 0 に戻 り 、 書
き 込みを無視 し ます。
R/O
0s
係数 (+1) ス テー タ ス
5:4 = 11 = 最大
10 = 最小
01 = ア ッ プデー ト さ れた
00 =ア ッ プデー ト さ れていない
R/O
00
係数 (0) ス テー タ ス
3:2 = 11 = 最大
10 = 最小
01 = ア ッ プデー ト さ れた
00 =ア ッ プデー ト さ れていない
R/O
00
係数 (-1) ス テー タ ス
1:0 = 11 = 最大
10 = 最小
01 = ア ッ プデー ト さ れた
00 =ア ッ プデー ト さ れていない
R/O
00
説明
属性
デ フ ォル
ト値
R/O
0
ビッ ト
1.153.5:4
1.153.3:2
1.153.1:0
MDIO レ ジ ス タ 1.154 : 10GBASE‐KR LD 係数ア ッ プデー ト
表 2-73 は 10GBASE-KR LD 係数ア ッ プデー ト レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐73 : 10GBASE‐KR LD 係数ア ッ プデー ト レ ジ ス タ
ビッ ト
名前
1.154.15:14
予約
こ のビ ッ ト に対 し てはブ ロ ッ ク は常に 0 に戻 り 、 書
き 込みを無視 し ます。
1.154.13
プ リ セッ ト
1 = プ リ セ ッ ト 係数
0 = 通常動作
R/O(1)
0
1.154.12
初期化
1 = 初期化係数
0 = 通常動作
R/O(1)
0
1.154.11:6
予約
こ のビ ッ ト に対 し てはブ ロ ッ ク は常に 0 に戻 り 、 書
き 込みを無視 し ます。
R/O
0s
1.154.5:4
係数 (+1) ア ッ プデー
ト
5:4 = 11 = 予約
10 = デ ク リ メ ン ト
01 = イ ン ク リ メ ン ト
00 = 保持
R/O(1)
00
1.154.3:2
係数 (0) ア ッ プ デー
ト
3:2 = 11 = 予約
10 = デ ク リ メ ン ト
01 = イ ン ク リ メ ン ト
00 = 保持
R/O(1)
00
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
63
第 2 章 : 製品仕様
表 2‐73 : 10GBASE‐KR LD 係数ア ッ プデー ト レ ジ ス タ (続き)
ビッ ト
1.154.1:0
名前
係数 (-1) ア ッ プデー
ト
説明
1:0 = 11 = 予約
10 = デ ク リ メ ン ト
01 = イ ン ク リ メ ン ト
00 = 保持
属性
デ フ ォル
ト値
R/O(1)
00
1. こ れ ら の レ ジ ス タ はレ ジ ス タ 1.65520 への書 き 込みに よ り プ ロ グ ラ ム さ れます。
MDIO レ ジ ス タ 1.155 : 10GBASE‐KR LD ス テー タ ス
表 2-74 は 10GBASE-KR LD ス テー タ ス レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐74 : 10GBASE‐KR LD ス テー タ ス レ ジ ス タ
名前
説明
属性
デ フ ォル
ト値
1.155.15
レ シーバー準備完了
1 = ト レーニ ン グが完了 し デー タ 受信の準備が完了
し た と LD レ シーバーが判断
0 = ト レーニ ン グが続行す る よ う LD レ シーバーが
リ クエス ト 中
R/O
0
1.155.14:6
予約
こ のビ ッ ト に対 し てはブ ロ ッ ク は常に 0 に戻 り 、 書
き 込みを無視 し ます。
R/O
0s
係数 (+1) ス テー タ ス
5:4 = 11 = 最大
10 = 最小
01 = ア ッ プデー ト さ れた
00 =ア ッ プデー ト さ れていない
R/O
00
係数 (0) ス テー タ ス
3:2 = 11 = 最大
10 = 最小
01 = ア ッ プデー ト さ れた
00 =ア ッ プデー ト さ れていない
R/O
00
係数 (-1) ス テー タ ス
1:0 = 11 = 最大
10 = 最小
01 = ア ッ プデー ト さ れた
00 =ア ッ プデー ト さ れていない
R/O
00
説明
属性
デ フ ォル
ト値
ビッ ト
1.155.5:4
1.155.3:2
1.155.1:0
MDIO レ ジ ス タ 1.170 : 10GBASE‐R FEC 機能
表 2-75 は 10GBASE-R FEC 機能レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐75 : 10GBASE‐R FEC 機能レ ジ ス タ
ビッ ト
名前
1.170.15:2
予約
こ のビ ッ ト に対 し てはブ ロ ッ ク は常に 0 に戻 り 、 書
き 込みを無視 し ます。
R/O
0s
1.170.1
10GBASE-R FEC エ
ラ ー イ ン ジ ケー タ ー
機能
1 = PHY は FEC デ コ ー ド エ ラ ーを PCS 層に レ ポー
ト でき る
R/O
1
1.170.0
10GBASE-R FEC 機
能
1 = PHY は FEC をサポー ト
R/O
1
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
64
第 2 章 : 製品仕様
MDIO レ ジ ス タ 1.171 : 10GBASE‐R FEC 制御
表 2-76 は 10GBASE-R FEC 制御レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐76 : 10GBASE‐R FEC 制御レ ジ ス タ
ビッ ト
名前
説明
属性
デ フ ォル
ト値
1.171.15:2
予約
こ のビ ッ ト に対 し てはブ ロ ッ ク は常に 0 に戻 り 、 書
き 込みを無視 し ます。
R/O
0s
1.171.1
10GBASE-R FEC エ
ラ ー イ ン ジ ケー タ ー
機能(1)
1 = FEC デ コ ー ド エ ラ ーを PCS 層に レ ポー ト で き る
よ う PHY を コ ン フ ィ ギ ュ レー ト
R/W
0
1.171.0
10GBASE-R FEC 機
能
1 = FEC を イ ネーブル
0 = FEC をデ ィ ス エーブル
R/W
0
1. FEC が イ ネーブルの と き に FEC エ ラ ー パス が イ ネーブルにな っ てい る 場合、 エ ラ ーは一時的に見 ら れます。 こ れを避け る に
は、 FEC をデ ィ ス エーブルに し てエ ラ ー パ ス のみを イ ネーブルに し ます。
MDIO レ ジ ス タ 1.172 : 10GBASE‐R FEC 訂正ブ ロ ッ ク (下位)
表 2-77 は 10GBASE-R FEC 訂正ブ ロ ッ ク (下位) レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐77 : 10GBASE‐R FEC 訂正ブ ロ ッ ク (下位) レ ジ ス タ
ビッ ト
1.172.15:0
名前
FEC 訂正ブ ロ ッ ク
説明
訂正ブ ロ ッ ク カ ウ ン ト のビ ッ ト 15:0
属性
デ フ ォル
ト値
R/O(1)
0s
属性
デ フ ォル
ト値
R/O(1)
0s
属性
デ フ ォル
ト値
R/O(1)
0s
1. 読み出 し の と き に消去 し ます。
MDIO レ ジ ス タ 1.173 : 10GBASE‐R FEC 訂正ブ ロ ッ ク (上位)
表 2-78 は 10GBASE-R FEC 訂正ブ ロ ッ ク (上位) レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐78 : 10GBASE‐R FEC 訂正ブ ロ ッ ク (上位) レ ジ ス タ
ビッ ト
1.173.15:0
名前
FEC 訂正ブ ロ ッ ク
説明
訂正ブ ロ ッ ク カ ウ ン ト のビ ッ ト 31:16
1. 1.172 が読み出 さ れ る と き に保持。 読み出 し の と き に消去 し ます。
MDIO レ ジ ス タ 1.174 : 10GBASE‐R FEC 未訂正ブ ロ ッ ク (下位)
表 2-79 は 10GBASE-R FEC 未訂正ブ ロ ッ ク (下位) レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐79 : 10GBASE‐R FEC 未訂正ブ ロ ッ ク (下位) レ ジス タ
ビッ ト
1.174.15:0
名前
FEC 未訂正ブ ロ ッ ク
説明
未訂正ブ ロ ッ ク カ ウ ン ト のビ ッ ト 15:0
1. 読み出 し の と き に消去 し ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
65
第 2 章 : 製品仕様
MDIO レ ジ ス タ 1.175 : 10GBASE‐R FEC 未訂正ブ ロ ッ ク (上位)
表 2-80 は 10GBASE-R FEC 未訂正ブ ロ ッ ク (上位) レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐80 : 10GBASE‐R FEC 未訂正ブ ロ ッ ク (上位) レ ジス タ
ビッ ト
1.175.15:0
名前
FEC 未訂正ブ ロ ッ ク
属性
デ フ ォル
ト値
R/O(1)
0s
説明
属性
デ フ ォル
ト値
説明
未訂正ブ ロ ッ ク カ ウ ン ト のビ ッ ト 31:16
1. 1.174 が読み出 さ れ る と き に保持。 読み出 し の と き に消去 し ます。
MDIO レ ジ ス タ : 1.65520 : ベ ン ダー別 LD ト レーニ ン グ
表 2-81 はベン ダー別 LD ト レーニ ン グ レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐81 : ベン ダー別 LD ト レーニ ング レ ジ ス タ
ビッ ト
名前
1.65520.15
ト レーニ ン グ完了
1 = LP ト ラ ン ス ミ ッ タ ーが ト レ イ ン さ れた と ト レー
ニ ン グ アルゴ リ ズ ムが判断
R/W(1)
0
1.65520.14
予約
こ のビ ッ ト に対 し てはブ ロ ッ ク は常に 0 に戻 り 、 書
き 込みを無視 し ます。
R/O
0
1.65520.13
プ リ セッ ト
1 = プ リ セ ッ ト 係数
0 = 通常動作
R/O(2)
0
1.65520.12
初期化
1 = 初期化係数
0 = 通常動作
R/O(2)
0
1.65520.5:4
係数 (+1) ア ッ プデー
ト
5:4 = 11 = 予約
10 = デ ク リ メ ン ト
01 = イ ン ク リ メ ン ト
00 = 保持
R/O(2)
00
1.65520.3:2
係数 (0) ア ッ プ デー
ト
3:2 = 11 = 予約
10 = デ ク リ メ ン ト
01 = イ ン ク リ メ ン ト
00 = 保持
R/O(2)
00
1.65520.1:0
係数 (-1) ア ッ プデー
ト
1:0 = 11 = 予約
10 = デ ク リ メ ン ト
01 = イ ン ク リ メ ン ト
00 = 保持
R/O(2)
00
1. こ の レ ジ ス タ は自動的に レ ジ ス タ 1.155.15 に伝送 さ れます。
2. こ れ ら の レ ジ ス タ は自動的に レ ジ ス タ 1.154 に伝送 さ れます。
MDIO レ ジ ス タ 1.65535 : コ ア バージ ョ ン情報
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
66
第 2 章 : 製品仕様
表 2-82 は コ ア バージ ョ ン情報レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐82 : コ ア バージ ョ ン情報
説明
属性
デ フ ォル
ト値
コ ア バージ ョ ン
ビ ッ ト 15..12 は メ ジ ャ ー コ ア バージ ョ ン、 ビ ッ ト
11..8 はマ イ ナー コ ア バージ ョ ン を表 し ます。
R/O
(1)
1.65535.7:4
コ アのパ ラ メ ー タ ー
ビッ
ビッ
ビッ
ビッ
R/O
(2)
1.65535.3:1
コ ア パ ッ チ バ ー ビ ッ ト 3..1 は コ アのパ ッ チ番号を表 し ます (あれば
ジョン
)。
R/O
000
1.65535.0
BASE-KR のみ : 評価
1 = こ の コ アはハー ド ウ ェ ア評価 ラ イ セ ン ス を使用
し て生成 さ れてい ます。
R/O
0
属性
デ フ ォル
ト値
ビッ ト
1.65535.15:8
名前
ト
ト
ト
ト
7 = 1 = KR を含む
6 – 予約
5 = 1 = AN を含む
4 = 1 = FEC を含む
1. コ ア バージ ョ ン 4.1 の場合は x'41' 。
2. コ ア生成パ ラ メ ー タ ーに左右 さ れます。
MDIO レ ジ ス タ 3.0 : PCS 制御 1
表 2-83 は PCS 制御 1 レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐83 : PCS 制御 1 レ ジ ス タ
ビッ ト
名前
説明
3.0.15
リ セッ ト
1 = ブロ ッ ク リ セッ ト
0 = 通常動作
こ の ビ ッ ト が 1 の と き 10GBASE-R/KR ブ ロ ッ ク が
リ セ ッ ト さ れます。 リ セ ッ ト が完了する と 0 を返 し
ます。
3.0.14
10GBASE-R/KR ルー
プバ ッ ク
3.0.13
R/W
自己消去
0
1 = PCS ループバ ッ ク を使用 (近端)
0 = PCS ループバ ッ ク を使用 し ない
R/W
0
ス ピー ド 選択
こ の ビ ッ ト に対 し て はブ ロ ッ ク は常に 1 を 返 し ま
す。
1 (お よ びビ ッ ト 6 = 1) = ビ ッ ト 5:2 は ス ピー ド を選
択
R/O
1
3.0.12
予約
こ のビ ッ ト に対 し てはブ ロ ッ ク は常に 0 に戻 り 、 書
き 込みを無視 し ます。
R/O
0
3.0.11
パ ワー ダ ウ ン
こ のビ ッ ト に効力はあ り ません。
R/W
0
3.0.10:7
予約
こ れ ら の ビ ッ ト に対 し て はブ ロ ッ ク は常に 0 に戻
り 、 書き 込みを無視 し ます。
R/O
すべて 0
3.0.6
ス ピー ド 選択
こ の ビ ッ ト に対 し て はブ ロ ッ ク は常に 1 を 返 し ま
す。
R/O
1
3.0.5:2
ス ピー ド 選択
こ のビ ッ ト に対 し てはブ ロ ッ ク は常に 0000 = 10Gb/
s を返 し ます。
R/O
すべて 0
3.0.1:0
予約
こ のビ ッ ト に対 し てはブ ロ ッ ク は常に 0 に戻 り 、 書
き 込みを無視 し ます。
R/O
すべて 0
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
67
第 2 章 : 製品仕様
MDIO レ ジ ス タ 3.1 : PCS ス テー タ ス 1
表 2-84 は PCS ス テー タ ス 1 レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐84 : PCS ス テー タ ス 1 レ ジ ス タ のビ ッ ト 定義
ビッ ト
名前
説明
属性
デ フ ォル
ト値
3.1.15:8
予約
こ れ ら の ビ ッ ト に対 し て はブ ロ ッ ク は常に 0 に戻
り 、 書き 込みを無視 し ます。
R/O
すべて 0
3.1.7
ロ ーカル フ ォ ル ト
1 = ロ ーカル フ ォ ル ト 検出
R/O
0
3.1.6:3
予約
こ れ ら の ビ ッ ト に対 し て はブ ロ ッ ク は常に 0 に戻
り 、 書き 込みを無視 し ます。
R/O
すべて 0
3.1.2
PCS 受 信 リ ン ク ス
テー タ ス
1 = PCS 受信 リ ン ク がア ッ プ
0 = PCS 受信 リ ン ク がダ ウ ン
こ れは ビ ッ ト 3.32.12 が Low に保持 し て い る バー
ジ ョ ンです。
R/O
自己消去
-
3.1.1
パ ワー ダ ウ ン機能
こ の ビ ッ ト に対 し て はブ ロ ッ ク は常に 1 を 返 し ま
す。
R/O
1
3.1.0
予約
こ のビ ッ ト に対 し てはブ ロ ッ ク は常に 0 に戻 り 、 書
き 込みを無視 し ます。
R/O
0
説明
属性
デ フ ォル
ト値
MDIO レ ジ ス タ 3.4 : PCS ス ピー ド 機能
表 2-85 は PCS ス ピー ド 機能 レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐85 : PCS ス ピー ド 機能レ ジ ス タ
ビッ ト
名前
3.4.15:1
予約
こ れ ら の ビ ッ ト に対 し て はブ ロ ッ ク は常に 0 に戻
り 、 書き 込みを無視 し ます。
R/O
すべて 0
3.4.0
10G 対応
こ のビ ッ ト に対 し てはブ ロ ッ ク は常に 1 に戻 り 、 書
き 込みを無視 し ます。
R/O
1
MDIO レ ジ ス タ 3.5 および 3.6 : PCS デバイ ス イ ン パ ッ ケージ
表 2-86 は PCS デバ イ ス イ ン パ ッ ケージ レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐86 : PCS デバイ ス イ ン パ ッ ケージ レ ジ ス タ
名前
説明
属性
デ フ ォル
ト値
3.6.15
ベン ダー別デバ イ ス
2あり
こ の ビ ッ ト に対 し て はブ ロ ッ ク は常に 0 を 返 し ま
す。
R/O
0
3.6.14
ベン ダー別デバ イ ス
1あり
こ の ビ ッ ト に対 し て はブ ロ ッ ク は常に 0 を 返 し ま
す。
R/O
0
3.6.13
第 22 節用拡張あ り
こ の ビ ッ ト に対 し て はブ ロ ッ ク は常に 0 を 返 し ま
す。
1/0
1
3.6.12:0
予約
こ れ ら のビ ッ ト に対 し てはブ ロ ッ ク は常に 0 を返 し
ます。
R/O
すべて 0
ビッ ト
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
68
第 2 章 : 製品仕様
表 2‐86 : PCS デバイ ス イ ン パ ッ ケージ レ ジ ス タ (続き)
ビッ ト
名前
説明
属性
デ フ ォル
ト値
3.5.15:8
予約
こ れ ら のビ ッ ト に対 し てはブ ロ ッ ク は常に 0 を返 し
ます。
R/O
すべて 0
3.5.7
オー ト ネ ゴ シエー
シ ョ ンあ り
1 = AN ブ ロ ッ ク が含まれてい る
1/0
1
3.5.6
TC あ り
こ の ビ ッ ト に対 し て はブ ロ ッ ク は常に 0 を 返 し ま
す。
R/O
0
3.5.5
PHY XS あ り
こ の ビ ッ ト に対 し て はブ ロ ッ ク は常に 0 を 返 し ま
す。
R/O
0
3.5.4
PHY XS あ り
こ の ビ ッ ト に対 し て はブ ロ ッ ク は常に 0 を 返 し ま
す。
R/O
0
3.5.3
PCS あ り
こ の ビ ッ ト に対 し て はブ ロ ッ ク は常に 1 を 返 し ま
す。
R/O
1
3.5.2
WIS あ り
こ の ビ ッ ト に対 し て はブ ロ ッ ク は常に 0 を 返 し ま
す。
R/O
0
3.5.1
PMA/PMD あ り
こ の ビ ッ ト に対 し て はブ ロ ッ ク は常に 1 を 返 し ま
す。
R/O
1
3.5.0
第 22 節 デ バ イ ス あ
り
こ の ビ ッ ト に対 し て はブ ロ ッ ク は常に 0 を 返 し ま
す。
R/O
0
説明
属性
デ フ ォル
ト値
MDIO レ ジ ス タ 3.7 : 10G PCS 制御 2
表 2-87 は 10G PCS 制御 2 レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐87 : 10G PCS 制御 2 レ ジス タ
ビッ ト
名前
3.7.15:2
予約
こ れ ら の ビ ッ ト に対 し て はブ ロ ッ ク は常に 0 に戻
り 、 書き 込みを無視 し ます。
R/O
すべて 0
3.7.1:0
PCS タ イ プ選択
00 = 10GBASE-R PCS タ イ プ を選択。 こ の レ ジ ス タ
に それ以外の値が書 き 込 ま れた場合は無視 さ れ ま
す。
R/W
00
説明
属性
デ フ ォル
ト値
MDIO レ ジ ス タ 3.8 : 10G PCS ス テー タ ス 2
表 2-88 は 10G PCS ス テー タ ス 2 レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐88 : 10G PCS ス テー タ ス 2 レ ジ ス タ
ビッ ト
名前
3.8.15:14
デバ イ ス あ り
こ の ビ ッ ト に対 し てはブ ロ ッ ク は常に 10 を返 し ま
す。
R/O
10
3.8.13:12
予約
こ れ ら のビ ッ ト に対 し てはブ ロ ッ ク は常に 0 を返 し
ます。
R/O
すべて 0
3.8.11
送信 ロ ーカル フ ォ ル
ト
1 = 送信フ ォ ル ト 検出
R/O
0
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
69
第 2 章 : 製品仕様
表 2‐88 : 10G PCS ス テー タ ス 2 レ ジ ス タ (続き)
ビッ ト
名前
説明
属性
デ フ ォル
ト値
3.8.10
受信 ロ ーカル フ ォ ル
ト
1 = 受信フ ォ ル ト 検出
R/O
0
3.8.9:3
予約
こ れ ら のビ ッ ト に対 し てはブ ロ ッ ク は常に 0 を返 し
ます。
R/O
すべて 0
3.8.2
10GBASE-W 対応
こ の ビ ッ ト に対 し て はブ ロ ッ ク は常に 0 を 返 し ま
す。
R/O
0
3.8.1
10GBASE-X 対応
こ の ビ ッ ト に対 し て はブ ロ ッ ク は常に 0 を 返 し ま
す。
R/O
0
3.8.0
10GBASE-R 対応
こ の ビ ッ ト に対 し て はブ ロ ッ ク は常に 1 を 返 し ま
す。
R/O
1
説明
属性
デ フ ォル
ト値
MDIO レ ジ ス タ 3.32 : 10GBASE‐R ス テー タ ス 1
表 2-89 は 10GBASE-R ス テー タ ス レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐89 : 10GBASE‐R ス テー タ ス レ ジ ス タ 1
ビッ ト
名前
3.32.15:13
予約
こ れ ら のビ ッ ト に対 し てはブ ロ ッ ク は常に 0 を返 し
ます。
R/O
すべて 0
3.32.12
10GBASE-R リ ン ク
ス テー タ ス
1 = 10GBASE-R 受信がア ラ イ ン さ れ る
0 = 10GBASE-R 受信がア ラ イ ン さ れない
RO
0
3.32.11:3
予約
こ れ ら のビ ッ ト に対 し てはブ ロ ッ ク は常に 0 を返 し
ます。
R/O
0s
3.32.2
PRBS31 パ タ ー ン テ
ス ト 機能
こ の ビ ッ ト に対 し て はブ ロ ッ ク は常に 1 を 返 し ま
す。
R/O
1
3.32.1
Hi BER
1 = RX が hi-ber を示 し てい る
0 = RX が hi ber を示 し ていない
R/O
0
3.32.0
ブロ ッ ク ロ ッ ク
1 = RX が同期
0 = RX が同期 し ていない
R/O
0
MDIO レ ジ ス タ 3.33 : 10GBASE‐R ス テー タ ス 2
表 2-90 は 10GBASE-R ス テー タ ス レ ジ ス タ ビ ッ ト を定義 し てい ます。 すべてのビ ッ ト は読み出 さ れ る と 消去 さ れま
す。
表 2‐90 : 10GBASE‐R ス テー タ ス レ ジ ス タ 2
ビッ ト
名前
説明
属性
デ フ ォル
ト値
3.33.15
保持 さ れた ブ ロ ッ ク
ロック
ブ ロ ッ ク ロ ッ ク が Low に保持 さ れたバージ ョ ン
R/O
0
3.33.14
保持 さ れた HiBER
Hi BER が High に保持 さ れたバージ ョ ン
R/O
1
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
70
第 2 章 : 製品仕様
表 2‐90 : 10GBASE‐R ス テー タ ス レ ジ ス タ 2 (続き)
ビッ ト
名前
説明
属性
デ フ ォル
ト値
3.33.13:8
BER
BER カ ウ ン タ ー
R/O
0s
3.33.7:0
エ ラ ーの あ る ブ ロ ッ
ク カウン ト
エ ラ ーのあ る ブ ロ ッ ク のカ ウ ン タ ー
R/O
0s
属性
デ フ ォル
ト値
R/W
すべて 0
属性
デ フ ォル
ト値
R/W
すべて 0
説明
属性
デ フ ォル
ト値
MDIO レ ジ ス タ 3.34–37 : 10GBASE‐R テ ス ト パ タ ーン シー ド A0–3
表 2-91 は 10GBASE-R テ ス ト パ タ ーン シー ド A0–2 レ ジ ス タ の ビ ッ ト を定義 し てい ます。
表 2‐91 : 10GBASE‐R テ ス ト パ タ ーン シー ド A0–3
ビッ ト
3.34–36.15:0
3.37.9:0
名前
説明
シー ド A ビ ッ ト
15:0、 31:16、 47:32、 擬似テ ス ト パ タ ーンのシー ド
57:48 応答
MDIO レ ジ ス タ 3.38‐41 : 10GBASE‐R テ ス ト パ タ ーン シー ド B0–3
表 2-92 は 10GBASE-R テ ス ト パ タ ーン シー ド B0–3 レ ジ ス タ の ビ ッ ト を定義 し てい ます。
表 2‐92 : 10GBASE‐R テ ス ト パ タ ーン シー ド B0–3
ビッ ト
名前
説明
シー ド B ビ ッ ト
3.38 ~ 40.15:0
15:0、 31:16、 47:32、 擬似テ ス ト パ タ ーンのシー ド
3.41.9:0
57:48 応答
MDIO レ ジ ス タ 3.42 : 10GBASE‐R テ ス ト パ タ ーン制御
表 2-93 は 10GBASE-R テ ス ト パ タ ーン制御レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐93 : 10GBASE‐R テ ス ト パ タ ーン制御レ ジ ス タ
ビッ ト
名前
3.42.15:6
予約
こ れ ら のビ ッ ト に対 し てはブ ロ ッ ク は常に 0 を返 し
ます。
R/O
すべて 0
3.42.5
PRBS31 RX テ ス ト
パ タ ーン イ ネーブル
1 = PRBS RX テ ス ト を イ ネーブル
0 = PRBS RX テ ス ト をデ ィ ス エーブル
R/W
0
3.42.4
PRBS31 TX テ ス ト
パ タ ーン イ ネーブル
1 = PRBS TX テ ス ト を イ ネーブル
0 = PRBS TX テ ス ト をデ ィ ス エーブル
R/W
0
3.42.3
TX テ ス ト パ タ ー ン
イ ネーブル
ビ ッ ト [1:0] で選択 し た TX テ ス ト パ タ ー ン を イ
ネーブル
R/W
0
3.42.2
RX テ ス ト パ タ ー ン
イ ネーブル
ビ ッ ト [1:0] で選択 し た RX テ ス ト パ タ ーン チ ェ ッ
ク を イ ネーブル
R/W
0
3.42.1
テ ス ト パ タ ーン選択
1 = 矩形波
0 = 擬似乱数
R/W
0
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
71
第 2 章 : 製品仕様
表 2‐93 : 10GBASE‐R テ ス ト パ タ ーン制御レ ジ ス タ (続き)
ビッ ト
3.42.0
名前
デー タ パ タ ーン選択
説明
1 = ゼ ロ パ タ ーン
0 = LF デー タ パ タ ーン
属性
デ フ ォル
ト値
R/W
0
1. PRBS31 テ ス ト パ タ ーン生成お よ びチ ェ ッ ク は ト ラ ン シーバーに イ ンプ リ メ ン ト さ れ、 エ ラ ー カ ウ ン ト は ト ラ ン シーバー DRP
イ ン タ ーフ ェ イ ス を介 し て 10GBASE-R/KR コ アに よ っ て読み出 さ れます。 それ以外のテ ス ト パ タ ーン生成お よ びチ ェ ッ ク は、
適宜 コ アの PCS ロ ジ ッ ク に イ ンプ リ メ ン ト さ れます。
MDIO レ ジ ス タ 3.43 : 10GBASE‐R テ ス ト パ タ ーン エ ラ ー カ ウ ン タ ー
MDIO イ ン タ ーフ ェ イ ス を持つ コ アの場合 こ の レ ジ ス タ は特別に イ ンプ リ メ ン ト さ れてい る ため、 MDIO PCS ア ド レ
ス が こ の レ ジ ス タ を ポ イ ン ト す る よ う に設定 さ れていて、 PRBS31 RX エ ラ ー チ ェ ッ ク が レ ジ ス タ 3.42.5 で イ ネーブ
ルにな っ てい る と 、 別の PCS レ ジ ス タ が MDIO ADDRESS コ マ ン ド で選択 さ れ る ま で、 ほかの MDIO コ マ ン ド は受
け入れ ら れません。
7 シ リ ーズ デバ イ ス の場合、 エ ラ ー数は、 ビ ッ ト エ ラ ーの実際の数ではな く 、 エ ラ ーを含む受信 さ れた 20 ビ ッ ト
ワー ド の数に等 し く な り ます。
UltraScale アーキ テ ク チ ャ デバ イ ス の場合は、 エ ラ ー数は、 ビ ッ ト 数が 64K よ り も 少ないエ ラ ーがあ る 、 受信 さ れた
シ ン グル ビ ッ ト 数に等 し く な り ます。 UltraScale アーキ テ ク チ ャ の ト ラ ン シーバーは こ の機能用に 32 ビ ッ ト カ ウ ン
タ ーを使用 し ますが、 コ アのエ ラ ー カ ウ ン タ ー レ ジ ス タ か ら は 16 ビ ッ ト し か リ ー ド バ ッ ク で き ません。 こ の ト ラ ン
シーバー カ ウ ン タ ー レ ジ ス タ か ら の下位 16 ビ ッ ト は コ ア レ ジ ス タ の値 と し て使用 さ れます。読み出 し 操作ご と に ト
ラ ン シーバー カ ウ ン タ ー レ ジ ス タ は消去 さ れ る ので、 それぞれ連続 し た読み出 し の間に 64K 未満のビ ッ ト エ ラ ーが
あれば、 返 さ れ る 値は有効です。
表 2-94 は 10GBASE-R テ ス ト パ タ ーン エ ラ ー カ ウ ン タ ー レ ジ ス タ ビ ッ ト を定義 し てい ます。 こ の レ ジ ス タ は読み
出 さ れ る と 消去 さ れます。
表 2‐94 : 10GBASE‐R テ ス ト パ タ ーン エ ラ ー カ ウン タ ー レ ジス タ
ビッ ト
3.43.15:0
名前
テ ス ト パ ターン エ
ラー カ ウ ン ター
説明
エ ラ ーのカ ウ ン ト
属性
デ フ ォル
ト値
R/O
すべて 0
MDIO レ ジ ス タ 3.65520 : IEEE 1588 制御
IEEE 1588 サポー ト が含まれてい る 場合にのみ こ の レ ジ ス タ が存在 し ます。
表 2‐95 : IEEE 1588 制御
ビッ ト
名前
説明
属性
デ フ ォル
ト
値
3.65520.0
PMA 調 整 イ ネ ー ブ
ル
1 の場合、RX PMA バレル シ フ タ ーの ス テー ト に対 し
タ イ ム ス タ ンプが訂正 さ れます。
0 の場合、 訂正は行われません。
RW
1
13.65520.
ギアボ ッ ク ス ス テー
ト 調整 イ ネーブル
1 の場合、 ト ラ ン シーバーの RX ギ ア ボ ッ ク ス の ス
テー ト に対 し タ イ ム ス タ ンプが訂正 さ れます。
0 の場合、 訂正は行われません。
RW
1
3.65520.2
固定 レ イ テ ン シ調整
イ ネーブル
1 の場合、 レ ジ ス タ 3.65521 お よ び 3.65522 の値で タ
イ ム ス タ ンプが調整 さ れます。
0 の場合、 調整は行われません。
RW
1
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
72
第 2 章 : 製品仕様
表 2‐95 : IEEE 1588 制御 (続き)
ビッ ト
名前
説明
属性
デ フ ォル
ト
値
3.65520.3
タ イ ム ス タ ン プ訂正
イ ネーブル
1 の場合、 イ ネーブルにな っ てい る PHY の固定お よ
び可変レ イ テ ン シに対 し 補正を行 う ため、 RX タ イ ム
ス タ ンプが調整 さ れます。
0 の場合、 タ イ ム ス タ ンプへの調整は行われません。
RW
1
なし
予約
RO
なし
説明
属性
デ フ ォル
ト値
固定レ イ テ ン シ調整ビ ッ ト が 1 の場合、タ イ ム ス タ ン
プ ポ イ ン ト と デバ イ ス のエ ッ ジ と のオ フ セ ッ ト であ
る 、 固定 レ イ テ ン シ コ ン ポーネ ン ト に対 し 調整を行
う ため、 こ の値が使用 さ れます。
RW
0x0030
説明
属性
デ フ ォル
ト値
固定レ イ テ ン シ調整ビ ッ ト が 1 の場合、 タ イ ム ス タ
ン プ ポ イ ン ト と デバ イ ス のエ ッ ジ と のオ フ セ ッ ト で
あ る 、 固定 レ イ テ ン シ コ ン ポーネ ン ト に対 し 調整を
行 う ため、 こ の値が使用 さ れます。
RW
0x000
3.65520.15:4
MDIO レ ジ ス タ 3.65521 : RX 固定レ イ テ ン シ (整数 ns)
IEEE 1588 サポー ト が含まれてい る 場合にのみ こ の レ ジ ス タ が存在 し ます。
表 2‐96 : RX 固定レ イ テ ン シ (整数 ns)
ビッ ト
3.65521.15:0
名前
RX 固定レ イ テ ン シ
整数 (ns)
MDIO レ ジ ス タ 3.65522 : RX 固定レ イ テ ン シ (分数 ns)
IEEE 1588 サポー ト が含まれてい る 場合にのみ こ の レ ジ ス タ が存在 し ます。
表 2‐97 : RX 固定レ イ テ ン シ (分数 ns)
ビッ ト
4.65522.15:0
名前
RX 固定レ イ テ ン シ
分数 (ns)
MDIO レ ジ ス タ 3.65535 : 125 ミ ク ロ秒 タ イ マー制御
表 2‐98 : 125 s タ イ マー制御
ビッ ト
3.65535.15:0
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
名前
説明
属性
デ フ ォル
ト値
125  s タ イ マー制御
BER 監視 ス テー ト マ シ ン で 125 s タ イ マーを計測
する ため、 ビ ッ ト 15..0 は 156.25MHz で ク ロ ッ ク サ
イ ク ル数を設定 し ます。デバ ッ グ目的に便利です (シ
ミ ュ レーシ ョ ンの短縮)
R/W
x'4C4B'
japan.xilinx.com
73
第 2 章 : 製品仕様
MDIO レ ジ ス タ 7.0 : AN 制御
表 2-99 は AN 制御レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐99 : AN 制御レ ジス タ
ビッ ト
名前
説明
属性
デ フ ォル
ト値
R/W
自己消去
0
こ のビ ッ ト に対 し てはブ ロ ッ ク は常に 0 に戻 り 、 書
き 込みを無視 し ます。
R/O
0
拡張次ページ制御
1 = 拡張次ページ をサポー ト
0 = サポー ト な し
R/W
0
7.0.12
AN イ ネーブル
1 = AN プ ロ セ ス を イ ネーブル
0 = デ ィ ス エーブル
R/W(1)
1
7.0.11:10
予約
こ のビ ッ ト に対 し てはブ ロ ッ ク は常に 0 に戻 り 、 書
き 込みを無視 し ます。
R/O
00
7.0.9
AN を再開
1 = AN プ ロ セ ス を再開
0 = 通常動作
R/W
自己消去
0
7.0.8:0
予約
こ のビ ッ ト に対 し てはブ ロ ッ ク は常に 0 に戻 り 、 書
き 込みを無視 し ます。
R/O
0s
7.0.15
AN リ セ ッ ト
1 = AN リ セ ッ ト
0 = AN 通常動作
7.0.14
予約
7.0.13
1. シ ミ ュ レーシ ョ ンの場合のみ、 AN を ス タ ー ト ア ッ プでデ ィ ス エーブルにす る には、 外部 コ ア ピ ン an_enable を Low に接続す
る 必要があ り ます。
MDIO レ ジ ス タ 7.1 : AN ス テー タ ス
表 2-100 は AN ス テー タ ス レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐100 : AN ス テー タ ス レ ジ ス タ
ビッ ト
名前
説明
属性
デ フ ォル
ト値
R/O
0s
R/O
High に保
持
0
7.1.15:10
予約
こ のビ ッ ト に対 し てはブ ロ ッ ク は常に 0 に戻 り 、 書
き 込みを無視 し ます。
7.1.9
パ ラ レ ル検出 フ ォ ル
ト
1 = パ ラ レル検出機能に よ り フ ォ ル ト を検出
0 = フ ォ ル ト 検出な し
7.1.8
予約
こ のビ ッ ト に対 し てはブ ロ ッ ク は常に 0 に戻 り 、 書
き 込みを無視 し ます。
R/O
0
7.1.7
拡張次ページ ス テー
タス
1 = XNP フ ォーマ ッ ト を使用
0 = XNP フ ォーマ ッ ト は使用で き ない
R/O
0
7.1.6
ページ受信
1 = ページ を受信
0 = ページは受信 さ れていない
R/O
High に保
持
0
7.1.5
AN 完了
1 = AN プ ロ セ ス を完了
0 = 完了 し ていない
R/O
0
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
74
第 2 章 : 製品仕様
表 2‐100 : AN ス テー タ ス レ ジ ス タ (続き)
ビッ ト
名前
説明
属性
デ フ ォル
ト値
R/O
High に保
持
0
R/O
1
R/O
Low に保持
0
7.1.4
リ モー ト フ ォ ル ト
1 = リ モー ト フ ォ ル ト コ ンデ ィ シ ョ ン を検出
0 = 検出な し
7.1.3
AN 機能
1 = PHY はオー ト ネ ゴ シエーシ ョ ン をサポー ト
0 = PHY はオー ト ネ ゴ シエーシ ョ ン をサポー ト し な
い
7.1.2
リ ン ク ス テー タ ス
1 = リ ン ク がア ッ プ
0 = リ ン ク がダ ウ ン
7.1.1
予約
こ のビ ッ ト に対 し てはブ ロ ッ ク は常に 0 に戻 り 、 書
き 込みを無視 し ます。
R/O
0
7.1.0
LP AN 機能
1 = LP は AN を実行で き る
0 =実行で き ない
R/O
0
属性
デ フ ォル
ト値
MDIO レ ジ ス タ 7.16–37 : AN 通知
表 2-101 は AN 通知レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐101 : AN 通知レ ジス タ 0
ビッ ト
名前
説明
7.16.15
次ページ
IEEE802.3 を参考
R/W
0
7.16.14
肯定応答
こ のビ ッ ト に対 し てはブ ロ ッ ク は常に 0 に戻 り 、 書
き 込みを無視 し ます。
R/O
0
7.16.13
リ モー ト フ ォ ル ト
IEEE802.3 を参考
R/W
0
7.16.12:5
D12:D5
IEEE802.3 を参考
R/W
0s
7.16.4:0
セ レ ク タ ー フ ィ ール
ド
IEEE802.3 を参考
R/W
00001s
属性
デ フ ォル
ト値
R/W
0
属性
デ フ ォル
ト値
R/W
0
表 2-102 は AN 通知レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐102 : AN 通知レ ジ ス タ 1
ビッ ト
7.17.15:0
名前
D31:D16
説明
IEEE802.3 を参考
表 2-103 は AN 通知レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐103 : AN 通知レ ジス タ 2
ビッ ト
7.18.15:0
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
名前
D47:D32
説明
IEEE802.3 を参考
japan.xilinx.com
75
第 2 章 : 製品仕様
MDIO レ ジ ス タ 7.19、 20、 21 : AN LP 基本ページ機能
表 2-104 は AN LP 基本ページ機能レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐104 : AN LP 基本ページ機能レ ジ ス タ 0
ビッ ト
7.19.15:0
名前
D15:D0
説明
IEEE802.3 を参考
属性
デ フ ォル
ト値
R/O
0
属性
デ フ ォル
ト値
R/W
0
属性
デ フ ォル
ト値
R/W
0
属性
デ フ ォル
ト値
表 2-105 は AN LP 基本ページ機能レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐105 : AN LP 基本ページ機能レ ジ ス タ 1
ビッ ト
7.20.15:0
名前
D31:D16
説明
IEEE802.3 を参考
表 2-106 は AN LP 基本ページ機能レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐106 : AN LP 基本ページ機能レ ジ ス タ 2
ビッ ト
7.21.15:0
名前
D47:D32
説明
IEEE802.3 を参考
MDIO レ ジ ス タ 7.22、 23、 24 : AN XNP 送信
表 2-107 は AN XNP 送信レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐107 : AN XNP 送信レ ジ ス タ 0
ビッ ト
名前
説明
7.22.15
次ページ
IEEE802.3 を参考
R/W
0
7.22.14
予約
こ のビ ッ ト に対 し てはブ ロ ッ ク は常に 0 に戻 り 、 書
き 込みを無視 し ます。
R/O
0
7.22.13
メ ッ セージ ページ
IEEE802.3 を参考
R/W
0
7.22.12
肯定応答 2
IEEE802.3 を参考
R/W
0
7.22.11
ト グル
IEEE802.3 を参考
R/O
0
7.22.10:0
メ ッ セージ
フ ォ ーマ ッ ト さ れて
IEEE802.3 を参考
いない コ ー ド フ ィ ー
ルド
R/W
0s
属性
デ フ ォル
ト値
R/W
0s
表 2-108 は AN XNP 送信レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐108 : AN XNP 送信レ ジ ス タ 1
ビッ ト
7.23.15:0
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
名前
説明
フ ォ ーマ ッ ト さ れて
いない コ ー ド フ ィ ー IEEE802.3 を参考
ルド 1
japan.xilinx.com
76
第 2 章 : 製品仕様
表 2-109 は AN XNP 送信レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐109 : AN XNP 送信レ ジ ス タ 2
ビッ ト
7.24.15:0
名前
説明
フ ォ ーマ ッ ト さ れて
いない コ ー ド フ ィ ー IEEE802.3 を参考
ルド 2
属性
デ フ ォル
ト値
R/W
0s
属性
デ フ ォル
ト値
MDIO レ ジ ス タ 7.25、 26、 27 : AN LP XNP 機能
表 2-110 は AN LP XNP 機能レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐110 : AN LP XNP 機能レ ジス タ 0
ビッ ト
名前
説明
7.25.15
次ページ
IEEE802.3 を参考
R/O
0
7.25.14
肯定応答
IEEE802.3 を参考
R/O
0
7.25.13
メ ッ セージ ページ
IEEE802.3 を参考
R/O
0
7.25.12
肯定応答 2
IEEE802.3 を参考
R/O
0
7.25.11
ト グル
IEEE802.3 を参考
R/O
0
7.25.10:0
メ ッ セージ
フ ォ ーマ ッ ト さ れて
IEEE802.3 を参考
いない コ ー ド フ ィ ー
ルド
R/O
0s
属性
デ フ ォル
ト値
R/O
0s
属性
デ フ ォル
ト値
R/O
0s
表 2-111 は AN LP XNP 機能レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐111 : AN LP XNP 機能レ ジス タ 1
ビッ ト
7.26.15:0
名前
説明
フ ォ ーマ ッ ト さ れて
いない コ ー ド フ ィ ー IEEE802.3 を参考
ルド 1
表 2-112 は AN LP XNP 機能レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐112 : AN LP XNP 機能レ ジス タ 2
ビッ ト
7.27.15:0
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
名前
説明
フ ォ ーマ ッ ト さ れて
いない コ ー ド フ ィ ー IEEE802.3 を参考
ルド 2
japan.xilinx.com
77
第 2 章 : 製品仕様
MDIO レ ジ ス タ 7.48 : パ ッ ク プ レーン イ ーサネ ッ ト ス テー タ ス
表 2-113 はパ ッ ク プ レーン イ ーサネ ッ ト ス テー タ ス レ ジ ス タ ビ ッ ト を定義 し てい ます。
表 2‐113 : パ ッ ク プ レーン イ ーサネ ッ ト ス テー タ ス レ ジ ス タ
ビッ ト
名前
説明
属性
デ フ ォル
ト値
7.48.15:5
予約
こ のビ ッ ト に対 し てはブ ロ ッ ク は常に 0 に戻 り 、 書
き 込みを無視 し ます。
R/O
0
7.48.4
10GBASE-KR FEC ネ
ゴ シエー ト
1 = 10GBASE-KR FEC を実行す る ため PMA/PMD を
ネ ゴエシエー ト
0 =ネ ゴ シエー ト さ れない
R/O
0
7.48.3
10GBASE-KR
1 = 10GBASE-KR を実行す る ため PMA/PMD を ネ ゴ
エシエー ト
0 =ネ ゴ シエー ト さ れない
R/O
0
7.48.2
10GBASE-KX4
1 = 10GBASE-KX4 を実行す る ため PMA/PMD を ネ
ゴエシエー ト
0 =ネ ゴ シエー ト さ れない
R/O
0
7.48.1
1000GBASE-KX
1 = 1000GBASE-KX を実行す る ため PMA/PMD を ネ
ゴエシエー ト
0 =ネ ゴ シエー ト さ れない
R/O
0
7.48.0
BP AN 機能
1 = PMA/PMD は前のプ ロ ト コ ルの 1 つを実行で き
る
0 =実行で き ない
R/O
1
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
78
第 3章
コ ア を使用するデザイ ン
こ の章では、 コ ア を使用 し た設計を よ り 容易にす る ためのガ イ ド ラ イ ンお よ び追加情報を紹介 し ます。
ク ロ ッ キン グ
すべてのデー タ イ ン タ ーフ ェ イ ス は (s_axis_tx、s_axis_pause、m_axis_rx、m_axis_tx_ts、m_axis_rx_ts
を含む)、 コ ア ク ロ ッ ク に同期 し てい ます。 コ ア ク ロ ッ ク は、 統合 10 Gigabit Ethernet PCS/PMA コ アのサポー ト レ イ
ヤー内で生成 さ れ、 表 3-1 に示す コ アのオプシ ョ ンに よ っ て変わ り ます。
管理 イ ン タ ーフ ェ イ ス s_axi は、 s_axi_aclk 入力に関連付け ら れてい ます。
表 3‐1 : コ ア ク ロ ッ ク
ファ ミ リ
共有ロ ジ ッ ク を コ アに含む
共有ロ ジ ッ ク をサン プル デザイ ンに含む
すべて
clk156_out
clk156
リセッ ト
リ セ ッ ト 入力は、 ト ラ ン シーバーや関連 PLL な ど、 デザ イ ン内のすべて を リ セ ッ ト す る ア ク テ ィ ブ High のグ ロ ーバ
ル非同期 リ セ ッ ト 入力を提供 し ます。
リ セ ッ ト シーケ ン ス が完了す る と 、areset_clk156_out 信号が High にアサー ト し ます。 こ の信号は clk156_out
に同期 し てい ます。
イ ン タ ー フ ェ イ ス リ セ ッ ト (s_axi_aresetn、 tx_axis_aresetn、 お よ び rx_axis_aresetn) の それぞれで、
ロ ーカル リ セ ッ ト がデザ イ ン全体のサブブ ロ ッ ク に適用 さ れます。 こ れ ら の リ セ ッ ト は、 標準操作ま たは イ ンプ リ メ
ン テーシ ョ ンには不要です。
共有ロ ジ ッ ク
こ の コ アの古いバージ ョ ンでは、 RTL 階層が固定 さ れてい ま し た。 こ のため、 共有可能な ク ロ ッ キ ン グや リ セ ッ ト ロ
ジ ッ ク は コ アのサン プル デザ イ ン か ら 抽出 し てか ら コ アの単一/複数 イ ン ス タ ン ス で使用す る 必要があ る と い う 難点
があ り ま し た。 共有 ロ ジ ッ ク は、 よ り 柔軟な アーキ テ ク チ ャ を提供す る 機能であ り 、 ス タ ン ド ア ロ ン コ ア と し て、 ま
たは 1 つ以上の コ ア イ ン ス タ ン ス を含む よ り 大規模なデザ イ ンの一部 と し て使用で き ます。こ の機能は、必要な HDL
の変更を最小限に抑え る と 同時に、 さ ら に多 く の コ ア コ ン フ ィ ギ ュ レーシ ョ ンに対応で き る 柔軟性を備えてい ます。
新 し い階層レベルは、 <component_name>_support と 呼ばれます。 図 3-1 お よ び図 3-2 に、 共有 ロ ジ ッ ク ブ ロ ッ
ク が コ アに含まれてい る ケース、 そ し てサンプル デザ イ ンに含まれてい る ケース と い う 、 2 つの階層を示 し ます。 図
中の <component_name> には生成 さ れた コ アの名前が入 り ます。 こ の 2 つの階層の違いは、 コ アの境界線です。 こ
れは、 Vivado IDE の [Shared Logic] を使用 し て指定 し ます (図 3-1 を参照)。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
79
第 3 章 : コ ア を使用するデザイ ン
X-Ref Target - Figure 3-1
FRPSRQHQWBQDPH!BH[DPSOHBGHVLJQ
FRPSRQHQWBQDPH!
FRPSRQHQWBQDPH!BVXSSRUW
FRPSRQHQWBQDPH!BEORFN
VKDUHGORJLF
;
図 3‐1 : コ アに含まれた共有ロ ジ ッ ク
X-Ref Target - Figure 3-2
FRPSRQHQWBQDPH!BH[DPSOHBGHVLJQ
FRPSRQHQWBQDPH!BVXSSRUW
VKDUHGORJLF
FRPSRQHQWBQDPH!
FRPSRQHQWBQDPH!BEORFN
;
図 3‐2 : サン プル デザイ ンに含まれた共有ロ ジ ッ ク AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
80
第 3 章 : コ ア を使用するデザイ ン
図 3-3 は、 IEEE 1588 をサポー ト し ない 10GBASE-R と 、 すべての 10GBASE-KR に対 し て、 共有 ロ ジ ッ ク が コ アに含
まれてい る 場合の コ ア階層を示 し てい ます。 コ ン ポーネ ン ト は、 グ レー表示にな っ てい る サポー ト 層です。
X-Ref Target - Figure 3-3
H[DPSOHBGHVLJQ
FRUHBVXSSRUWBOD\HU
FRUH
ORFDOBFORFNLQJBDQGBUHVHW
JWBZL]BZUDSSHUB*7
*7(
%8)*
5;287&/.
(QFU\SWHG57/
7;287&/.
W[FON
JWBTSOOFONBL
W[XVUFON
W[XVUFON
JWBTSOOUHIFONBL
FONELW
FONELW
VKDUHGBFORFNLQJBDQGBUHVHW
*7B&20021
*7(B&20021
GFON
%8)*
%8)*
,%8)'6B*7(
ƌĞĨĐůŬͺŶ
ƌĞĨĐůŬͺƉ
;
図 3‐3 : コ アに共有ロ ジ ッ クが含まれている場合のコ ア階層
図 3-4 は、 IEEE 1588 をサポー ト し ない 10GBASE-R と 、 すべての 10GBASE-KR に対 し て、 共有 ロ ジ ッ ク がサンプル
デザ イ ンに含まれてい る 場合の コ ア階層を示 し てい ます。 コ ン ポーネ ン ト は、 グ レー表示にな っ てい る コ ア層です。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
81
第 3 章 : コ ア を使用するデザイ ン
X-Ref Target - Figure 3-4
H[DPSOHBGHVLJQ
FRUHBVXSSRUWBOD\HU
FRUH
ORFDOBFORFNLQJBDQGBUHVHW
JWBZL]BZUDSSHUB*7
*7+(
%8)*
5;287&/.
(QFU\SWHG57/
7;287&/.
W[FON
JWBTSOOFONBL
W[XVUFON
W[XVUFON
FONELW
FONELW
JWBTSOOUHIFONBL
VKDUHGBFORFNLQJBDQGBUHVHW
*7B&20021
*7+(B&20021
GFON
%8)*
%8)*
,%8)'6B*7(
ƌĞĨĐůŬͺŶ
ƌĞĨĐůŬͺƉ
;
図 3‐4 : サン プル デザイ ンに共有ロ ジ ッ クが含まれている場合の コ ア階層
コ ア間の ロ ジ ッ ク の共有については、 「共有 ロ ジ ッ ク お よ びコ ア サポー ト レ イ ヤー」 お よ び 「特別なデザ イ ンに関す
る 注意事項」 を参照 し て く だ さ い。
IEEE 1588 サポー ト のある共有ロ ジ ッ ク
図 3-5 は、 コ アが IEEE 1518 サポー ト 用に コ ン フ ィ ギ ュ レー ト さ れてい る 場合の、 コ アの ク ロ ッ キ ン グ階層お よ び共
有で き る 可能性のあ る ほかの ロ ジ ッ ク の階層を示 し てい ます。 <component_name>_support 階層内にあ る ロ ジ ッ
ク は、 [Shared Logic in the core] が選択 さ れてい る 場合に、 10GBASE-R サブ コ アに含め ら れます。
し か し 、 [Shared Logic in example design] が選択 さ れてい る 場合は、 コ アの境界は図 3-5 のグ レー表示 さ れてい る レベ
ルに移動 し ます。 こ の場合、 <component_name>_support 階層は、 コ アのサンプル デザ イ ンの一部にな り ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
82
第 3 章 : コ ア を使用するデザイ ン
X-Ref Target - Figure 3-5
FRPSRQHQWBQDPH!BVXSSRUW
%8)5
%8)05
U[XVUFON
U[XVUFON
,%8)'6B*7(
ƌĞĨĐůŬͺƉ
ƌĞĨĐůŬͺŶ
ƌdžŽƵƚĐůŬ
ƋƉůůůŽĐŬ
*7B&20021
ƋƉůůŽƵƚĐůŬ
ƋƉůůŽƵƚƌĞĨĐůŬ
7[0DQXDO
3KDVH$OLJQ
*7B&+$11(/
7[6WDUWXS
)60
5[$XWR3KDVH
$OLJQ
5[6WDUWXS
)60
%8)+
ƚdžĐůŬϯϮϮ
%8)+
ƚdžŽƵƚĐůŬ
%8)*
00&0
)RU
.LQWH[
RQO\
W[XVUFON
W[XVUFON
ĐůŬϭϱϲ
%8)*
ůĨĐůŬ
ORFDOBUHVHWV
ůĨƌĞƐĞƚ
(QFU\SWHG
+'/
図 3‐5 : IEEE 1588 のク ロ ッ キング
グ レー表示にな っ てい る 階層に含まれてい る ロ ジ ッ ク は、 1 つの コ アに必要な ロ ジ ッ ク で、 共有はで き ません。 た と
えば、 ト ラ ン シーバーの GT_CHANNEL の rxoutclk ポー ト か ら 派生する レ シーバー ク ロ ッ ク ロ ジ ッ ク は、 各 ト ラ
ン シーバーに固有の も のなので、カ ス ケー ド さ れた BUFMR/BUFR の組み合わせ も こ の コ ア イ ン ス タ ン ス に固有の も
のにな り ます。 ま た、 7 シ リ ーズ ト ラ ン シーバー ウ ィ ザー ド か ら の RX ス タ ー ト ア ッ プ FSM お よ び RX オー ト 位相
ア ラ イ メ ン ト モジ ュ ールは、 ト ラ ン シーバーに基づいて動作 し 、 ま た ト ラ ン シーバー内の RX バ ッ フ ァ ーをバ イ パ ス
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
83
第 3 章 : コ ア を使用するデザイ ン
さ せ る ために rxoutclk ク ロ ッ ク を位相ア ラ イ ンす る ので、 こ れ ら のモジ ュ ール も こ の コ ア イ ン ス タ ン ス に固有の
も の と な り ます。
<component_name>_support 階層にあ る ロ ジ ッ ク は、 あ る 条件下で複数の コ ア イ ン ス タ ン ス で共有可能な ロ ジ ッ
ク です。 た と えば、 GT_COMMON ブ ロ ッ ク は 4 つの GT_COMMON チ ャ ネルに共通 し てい ます ( 『7 シ リ ーズ ト ラ ン
シーバー ユーザー ガ イ ド 』 (UG476) [参照 10] を参照)残 り のブ ロ ッ ク は、 TX 手動位相ア ラ イ ンお よ び TX ス タ ー ト
ア ッ プ FSM (7 シ リ ーズ ト ラ ン シーバー ウ ィ ザー ド か ら の も の) と 、 関連付け ら れてい る ク ロ ッ ク バ ッ フ ァ ーのあ る
MMCM か ら 成っ てい ますが、 こ れ ら のブ ロ ッ ク は、 各 コ アが独立 し て動作す る こ と が求め ら れ る ケース では、 コ ア
イ ン ス タ ン ス ご と にすべて必要にな る こ と があ り ます。 ま たは、 すべての コ アが同 じ ト ラ ン ス ミ ッ タ ー リ セ ッ ト ロ
ジ ッ ク お よ び リ セ ッ ト 信号に接続で き る よ う なデザ イ ンの場合は、 変更を加えて、 こ れ ら のブ ロ ッ ク を 2 つ以上の コ
ア イ ン ス タ ン ス で共有可能にな り ます。
イ ーサネ ッ ト プ ロ ト コ ルの説明
こ のセ ク シ ョ ンでは、 イ ーサネ ッ ト シ ス テ ムでの コ アの位置付け と 、 基本的な イ ーサネ ッ ト 用語をい く つか説明 し ま
す。
イ ーサネ ッ ト サブ レ イ ヤー アーキテ ク チ ャ
図 3-6 i は OSI (Open Systems Interconnection) の リ フ ァ レ ン ス モデル と コ アの関係を表 し てい ます。 グ レーで示 さ れて
い る 層が コ アが実行す る 機能を示 し てい ます。 図 3-6 は、 こ のアーキ テ ク チ ャ におけ る 、 サポー ト さ れてい る 物理的
イ ン タ ーフ ェ イ ス の位置づけ も 表 し てい ます。
X-Ref Target - Figure 3-6
/$1&60$&'/D\HUV
+LJKHU/D\HUV
26,
5HIHUHQFH
0RGHO
/D\HUV
//&/RJLFDO/LQN&RQWURO
$SSOLFDWLRQ
0$&0HGLD$FFHVV&RQWURO
3UHVHQWDWLRQ
5HFRQFLOLDWLRQ
0$&&RQWURO2SWLRQDO
6HVVLRQ
;*0,,
7UDQVSRUW
1HWZRUN
%%3&6
30$
30'
'DWD/LQN
*%$6(53&6
)(&
30$
30'
$1
3+<3K\VLFDO
0HGLXP
0HGLXP
*%$6(5
HJ2SWLFDO)LEHU0HGLXP
3&63K\VLFDO&RGLQJ6XEOD\HU
30$3K\VLFDO0HGLXP$WWDFKPHQW
30'3K\VLFDO0HGLXP'HSHQGHQW
*%$6(.5
%DFNSODQH
0,,0HGLD,QGHSHQGHQW,QWHUIDFH
*0,,*LJDELW0HGLD,QGHSHQGHQW,QWHUIDFH
5*0,,5HGXFHG*LJDELW0HGLD,QGHSHQGHQW,QWHUIDFH
6*0,,6HULDO*LJDELW0HGLD,QGHSHQGHQW,QWHUIDFH
図 3‐6 : IEEE 規格 802.3‐2012 イ ーサネ ッ ト モデル
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
84
第 3 章 : コ ア を使用するデザイ ン
MAC お よび MAC 制御サブ レ イ ヤー
イ ーサネ ッ ト MAC は IEEE 規格 802.3-2012 [参照 1]の第 2、 3、 4 節で規定 さ れてい ます。 MAC に よ り 、 「 イ ーサネ ッ
ト のデー タ フ ォーマ ッ ト 」 で説明 さ れてい る イ ーサネ ッ ト フ レーム プ ロ ト コ ル と 、 こ れ ら の フ レームのエ ラ ー検出
が決ま り ます。 MAC は、 物理層デバ イ ス か ら は独立 し てお り 、 ど の タ イ プの物理層デバ イ ス に も 接続で き ます。
MAC 制御サブ レ イ ヤーは、IEEE 規格 802.3-2012 [参照 1]の第 31 節で規定 さ れてい ます。こ こ には MAC サブ レ イ ヤー
の リ アル タ イ ム フ ロ ー制御が説明 さ れてい ます。
MAC 制御サブ レ イ ヤーお よ び MAC サブ レ イ ヤーはど ち ら も 、 すべてのモー ド で コ アか ら 提供 さ れてい ます。
物理的サブ レ イ ヤー (PCS、 PMA、 PMD)
PCS (Physical Coding Sublayer)、 PMA (Physical Medium Attachment)、 PMD (Physical Medium Dependent) の組み合わせ
に よ り 、 プ ロ ト コ ルの物理層が構成 さ れてい ます。 物理的規格には次の も のがあ り ます。
•
10GBASE-R/KR – PHY は、 MAC と 1 つの光チ ャ ネル と バ ッ ク プ レーン チ ャ ネルの間の リ ン ク を 10.3125Gb/s で
提供 し ます。 こ れは Ethernet 10 Gigabit Ethernet PCS/PMA コ アで提供 さ れます。
•
10GBASE-X/XAUI – PHY は、 MAC と 4 レーン バ ッ ク プ レーン と chip-to-chip チ ャ ネルの間の リ ン ク を レーン ご
と に 3.125Gb/s で提供 し ます。 こ れは Ethernet XAUI コ アで提供 さ れます。
•
RXAUI – PHY は、MAC と 2 レーン バ ッ ク プ レーン と chip-to-chip チ ャ ネルの間の リ ン ク を レーン ご と に 6.25Gb/
s で提供 し ます。 こ れは Ethernet RXAUI コ アで提供 さ れます。
イ ーサネ ッ ト のデー タ フ ォ ーマ ッ ト
図 3-7 は標準の イ ーサネ ッ ト デー タ フ レーム を表 し てい ます。 フ レーム内の フ ィ ール ド は左か ら 右に送信 さ れます。
フ ィ ール ド 内のバ イ ト は左か ら 右に送信 さ れ ま す (特に指定が ない限 り 、 最下位 ビ ッ ト か ら 最上位 ビ ッ ト へ送信)。
デー タ フ ィ ール ド が 1,500 バ イ ト を大 き く 上回る ジ ャ ン ボ イ ーサネ ッ ト フ レーム を コ アは処理で き ます。
X-Ref Target - Figure 3-7
1XPEHU
RI%\WHV
6WDUWRI)UDPH 'HVWLQDWLRQ
3UHDPEOH 'HOLPLWHU6)'
$GGUHVV
6RXUFH
$GGUHVV
/HQJWK
7\SH
'DWD
3DG
)&6
%\WHV
図 3‐7 : 標準イ ーサネ ッ ト フ レーム フ ォ ーマ ッ ト
コ アは VLAN (仮想 LAN) フ レーム も 受信する こ と がで き ます。 図 3-8 は こ の VLAN フ レーム フ ォーマ ッ ト を示 し て
い ます。 フ レームが VLAN タ イ プの フ レームで、 コ ア コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ が設定 さ れてい る と 、 長 さ
の通常の最大値を超え る バ イ ト を 4 バ イ ト ま で受信で き ます。
.
X-Ref Target - Figure 3-8
1XPEHU
RI%\WHV
6WDUWRI)UDPH 'HVWLQDWLRQ
3UHDPEOH 'HOLPLWHU6)'
$GGUHVV
6RXUFH
$GGUHVV
[
9/$1
7DJ
/HQ
7\SH
'DWD
3DG
)&6
E\WHV
図 3‐8 : イ ーサネ ッ ト VLAN フ レーム フ ォ ーマ ッ ト
イ ーサネ ッ ト の一旦停止/フ ロ ー制御フ レームお よ びオプシ ョ ンの 802.1Qbb 優先ベース の フ ロ ー制御フ レーム を、 コ
アは送受信で き ます。 図 3-31 は、 802.3 の一旦停止/フ ロ ー制御フ レーム と 標準 イ ーサネ ッ ト フ レーム フ ォーマ ッ ト
と の違い を表 し 、 図 3-36 は、 IEEE 802.1Qbb 優先ベース の フ ロ ー制御 と 標準 イ ーサネ ッ ト フ レーム フ ォーマ ッ ト と
の違いを表 し てい ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
85
第 3 章 : コ ア を使用するデザイ ン
次に、 イ ーサネ ッ ト フ レームの個々のフ ィ ール ド を説明 し ます。
プ リ ア ン ブル
送信の場合、 こ の フ ィ ール ド は コ アに よ り 自動的に挿入 さ れます。 0x55 と い う パ タ ーン を含む 7 バ イ ト で、 左か ら 右
に送信 さ れます。
受信の場合、 デー タ がユーザーに渡 さ れ る 前に、 こ の フ ィ ール ド は通常入力 フ レ ーム か ら 削除 さ れ ま す。 例外は、
MAC がカ ス タ ム プ リ ア ンブル モー ド に設定 さ れてい る 場合で、 こ のモー ド では、 複数のアプ リ ケーシ ョ ンが、 オー
バーヘ ッ ド な し にネ ッ ト ワー ク 情報を送信す る ため、 プ リ ア ンブル バ イ ト で占め ら れ る 時間を使用で き ます。
フ レームの開始区切 り (SFD : Start of Frame Delimiter)
フ レームの開始を示 し ます。 0 x D5 と い う パ タ ーンが含まれてい る 必要があ り ます。 物理 イ ンー タ フ ェ イ ス上での送
信の場合、 こ の フ ィ ール ド は コ アに よ り 自動的に挿入 さ れます。 受信の場合、 デー タ が渡 さ れ る 前に、 こ の フ ィ ール
ド は常に入力フ レーム か ら 削除 さ れます。 コ アがカ ス タ ム プ リ ア ンブル モー ド に設定 さ れてい る 場合、 SFD を送信
の と き はカ ス タ ム デー タ に置 き 換え る こ と がで き 、 受信の と き は SFD はチ ェ ッ ク さ れません。
MAC ア ド レ ス フ ィ ール ド
MAC ア ド レ ス
MAC ア ド レ ス の最初の 8 ビ ッ ト の最下位ビ ッ ト が、 ア ド レ ス が独立 し てい る /ユニキ ャ ス ト (0) であ る か、 グループ/
マルチキ ャ ス ト (1) であ る か を決定 し ます。 マルチキ ャ ス ト ア ド レ ス は、 論理的に関連す る ス テーシ ョ ン を グループ
に ま と め る のに使用 さ れます。ブ ロ ー ド キ ャ ス ト ア ド レ ス (デス テ ィ ネーシ ョ ン ア ド レ ス フ ィ ール ド はすべて 1) は、
LAN ( ロ ーカル エ リ ア ネ ッ ト ワ ー ク ) のすべての ス テーシ ョ ン を対象にす る マルチキ ャ ス ト ア ド レ ス です。 コ アは、
ユニ キ ャ ス ト 、 マルチキ ャ ス ト 、 ブ ロ ー ド キ ャ ス ト パケ ッ ト の送受信をサポー ト し てい ます。
ア ド レ ス は まず イ ーサネ ッ ト フ レーム の最下位ビ ッ ト で送信 さ れ ます。 個々のア ド レ ス ま たはグループ ア ド レ ス を
表す こ のビ ッ ト は、 イ ーサネ ッ ト フ レームのア ド レ ス フ ィ ール ド にあ ら われ る 最初のビ ッ ト です。
デス テ ィ ネーシ ョ ン ア ド レ ス
こ の MAC ア ド レ ス フ ィ ール ド は、 送信用パケ ッ ト デー タ で提供 さ れ る イ ーサネ ッ ト フ レームの最初の フ ィ ール ド
で、 受信パケ ッ ト デー タ に保持 さ れます。 ネ ッ ト ワ ー ク 上の受信者の MAC ア ド レ ス を提供 し ます。
ソ ース ア ド レ ス
こ の MAC ア ド レ ス フ ィ ール ド は、 送信用パケ ッ ト デー タ に含まれ る イ ーサネ ッ ト フ レームの 2 番目の フ ィ ール ド
で、 受信パケ ッ ト デー タ に保持 さ れます。 ネ ッ ト ワ ー ク 上の フ レーム開始者の MAC ア ド レ ス を提供 し ます。
送信の場合、 イ ーサネ ッ ト フ レームの ソ ース ア ド レ ス は、 コ アに よ っ て変更 さ れないため、 常にユーザーが提供す
る 必要があ り ます。
長さ/タ イプ
こ の フ ィ ール ド の値は、 IEEE 規格 802.3-2012 [参照 1]で定義 さ れてい る よ う に、 フ ィ ール ド を長 さ と す る か タ イ プ と
す る か を決め ます。 コ アは、 10 進数で 1,536 以上の値を タ イ プ フ ィ ール ド と し て処理 し ます。
長 さ フ ィ ール ド と し て使用 さ れ る 場合は、 こ の フ ィ ール ド の値は後続デー タ フ ィ ール ド のバ イ ト 数を表 し ます。 こ の
値には、 デー タ フ ィ ール ド の後に続 く パ ッ ド フ ィ ール ド のバ イ ト は含まれません。
長 さ / タ イ プ フ ィ ール ド の値が 0x8100 の場合は フ レ ーム が VLAN フ レ ーム であ り 、 値が 0x8808 の場合は一旦停止
MAC 制御フ レームであ る こ と を示 し ます。
送信の場合、 コ アは長 さ / タ イ プ フ ィ ール ド を処理 し ません。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
86
第 3 章 : コ ア を使用するデザイ ン
受信の場合は、 こ の フ ィ ール ド が長 さ フ ィ ール ド な ら 、 コ アの受信エン ジ ンが こ の値を処理 し 、 必要に応 じ てパ ッ ド
フ ィ ール ド のパデ ィ ン グが削除 さ れます。 こ の フ ィ ール ド が長 さ フ ィ ール ド であ り 、 長 さ / タ イ プ チ ェ ッ ク が イ ネー
ブルの場合、 デー タ フ ィ ール ド の実際の長 さ と 比較 さ れ、 一致 し ない場合はエ ラ ーが報告 さ れます。 こ の フ ィ ール ド
が タ イ プ フ ィ ール ド の場合は、 値は無視 さ れ、 処理 さ れずにパケ ッ ト デー タ と 共に渡 さ れます。 長 さ / タ イ プ フ ィ ー
ル ド は、 常に受信パケ ッ ト デー タ に保持 さ れます。
デー タ
通常の フ レームのデー タ フ ィ ール ド の長 さ は、 0 か ら 1,500 バ イ ト の範囲で変動 し ます。 コ アは任意の長 さ のジ ャ ン
ボ フ レーム を処理で き ます。
こ の フ ィ ール ド は送信用パケ ッ ト デー タ に含まれ、 受信パケ ッ ト デー タ に保持 さ れます。
パッ ド
パ ッ ド フ ィ ール ド の長 さ は 0 か ら 46 バ イ ト の範囲で変動 し ます。 こ の フ ィ ール ド は、 フ レームの長 さ が CSMA/CD
操作に必要な 64 バ イ ト 以上 (プ リ ア ンブルお よ び SFD フ ィ ール ド は こ の計算には含まれない) にな る よ う にす る ため
に使用 し ます。 こ の フ ィ ール ド の値は、 フ レーム チ ェ ッ ク シーケ ン ス の計算では使用 さ れ ますが、 長 さ フ ィ ール ド
の値には含まれません。 こ の フ ィ ール ド お よ びデー タ フ ィ ール ド の長 さ を足 し た値は少な く と も 46 バ イ ト にな る 必
要があ り ます。 デー タ フ ィ ール ド に含まれ る バ イ ト が 0 の場合、 パ ッ ド フ ィ ール ド は 46 バ イ ト にな り ます。 デー タ
フ ィ ール ド に含ま れ る バ イ ト が 46 以上の場合、 パ ッ ド フ ィ ール ド は 0 バ イ ト にな り ます。
送信の場合、 こ の フ ィ ール ド は コ アに よ っ て自動的に挿入 さ れ る か、 ま たはユーザーが提供 し ます。 コ アに よ り パ ッ
ド フ ィ ール ド が挿入 さ れ る 場合は、 コ アに よ り FCS フ ィ ール ド が計算 さ れ挿入 さ れます。 パ ッ ド フ ィ ール ド がユー
ザーか ら 提供 さ れ る 場合は、 FCS は コ アに よ っ て挿入 さ れ る か、 ユーザーか ら 提供 さ れ ま す。 こ れは コ ン フ ィ ギ ュ
レーシ ョ ン レ ジ ス タ ビ ッ ト で決ま り ます。
受信の場合、 長 さ / タ イ プ フ ィ ール ド が長 さ にな っ てい る 場合、 コ アが FCS フ ィ ール ド を ユーザーに渡す よ う に設定
さ れていない限 り 、 入力フ レームのパ ッ ド フ ィ ール ド はユーザーには渡 さ れません。
FCS
FCS フ ィ ール ド の値は、デス テ ィ ネーシ ョ ン ア ド レ ス、長 さ / タ イ プ、パ ッ ド フ ィ ール ド に対 し 、IEEE 規格 802.3-2012
第 3.2.9 節で規定 さ れてい る よ う に、 32 ビ ッ ト の CRC (巡回冗長検査) を使用 し て計算 さ れます。
G(x) = x32 + x26 + x23 + x22 + x16 + x12 + x11 + x10 + x8 + x7 + x5 + x4 + x2 + x1 + x0
CRC ビ ッ ト は、 最初のバ イ ト の一番左のビ ッ ト が x31 項、 最終バ イ ト の一番右側のビ ッ ト が x0 項の FCS フ ィ ール ド
に配置 さ れます (つま り 、 CRC のビ ッ ト は x31、 x30、 ...x1、 x0 と い う 順番で送信 さ れ る )。
送信の場合、 こ の フ ィ ール ド は コ アに よ り 自動的に挿入 さ れ る か、 ま たはユーザーか ら 提供 さ れ ま す。 こ れは コ ン
フ ィ ギ ュ レーシ ョ ン レ ジ ス タ ビ ッ ト に よ り 決ま り ます。
受信では、 各フ レーム で入力 FCS 値が検証 さ れます。 間違っ た FCS 値が受信 さ れ る と 、 コ アは不正な フ レーム を受
信 し た と 知 ら せます。 FCS フ ィ ール ド はユーザーに渡すか、 コ アが破棄 し ます。 こ れは コ ン フ ィ ギ ュ レーシ ョ ン レ ジ
ス タ ビ ッ ト に よ り 決ま り ます。
フ レーム送信および IFG
IEEE 規格 802.3-2012 で指定 さ れてい る よ う に、 イ ーサネ ッ ト 上ではフ レームは 96 ビ ッ ト の倍数の IFG (10Gb/s の場
合は 9.6ns) で送信 さ れます。 こ れは最小値で、 増やす こ と が可能ですが、 こ の値を大 き く す る と スループ ッ ト が低下
し ます。
イ ーサネ ッ ト MAC フ レーム送信の最終ビ ッ ト が送信 さ れ る と 、 コ アは IFG タ イ マーを開始 し 、 IFG カ ウ ン ト が完了
す る ま で送信を遅 ら せます。 こ の後、 コ アは、 次の フ レームの開始順序セ ッ ト コ ー ド を、 デー タ ス ト リ ームの次の
利用可能な 4 バ イ ト バ ウ ン ダ リ に配置 し ます。 コ アの IFG 調整機能が使用 さ れてい る 場合は、 送信は さ ら に遅れ る
可能性があ り ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
87
第 3 章 : コ ア を使用するデザイ ン
DIC (Deficit Idle Count)
前出の IFG 設定に加え、 IEEE 802.3-2012 では DIC (Deficit Idle Count) と い う 機能が認め ら れてい ます。 こ れは、 送信
さ れた IFG の値が 12 を下回 る も のがあ っ て も 、 長期的に平均値が 12 であれば、 開始順序セ ッ ト ア ラ イ メ ン ト ルー
ルを満たす こ と がで き る と い う も のです。 こ の機能は コ アで コ ン フ ィ ギ ュ レーシ ョ ン ビ ッ ト に よ り 制御 さ れます。
f
デー タ イ ン タ ー フ ェ イ スの接続
こ のセ ク シ ョ ンでは、 コ アのデー タ イ ン タ ーフ ェ イ ス の接続方法について説明 し ます。
送信 AXI4‐Stream イ ン タ ー フ ェ イ ス
コ アの送信部の ク ラ イ ア ン ト 側の イ ン タ ーフ ェ イ ス は AXI4-Stream イ ン タ ーフ ェ イ ス をサポー ト し てい ます。64 ビ ッ
ト のデー タ パ ス があ り 、 64 ビ ッ ト ポー ト 内でバ イ ト を区切 る ための 8 つの制御ビ ッ ト も 含まれます。 さ ら に、 コ アへ
のデー タ 送信のハン ド シ ェ ー ク を行 う ための信号 も あ り ます。 AXI4-Stream イ ン タ ーフ ェ イ ス のあ る FIFO の ソ ース
コ ー ド を含むサ ンプル デザ イ ンは、 Vivado IP カ タ ロ グで生成 し た コ アか ら 提供 さ れ ます。 表 3-2 にはその信号が定
義 さ れてい ます。
IP イ ン テグ レーターで こ の イ ン ターフ ェ イ ス を接続する と き、表 3-2 の信号は表示 さ れ (tx_axis_aresetn を除 く )、
tx_axis_aresetn と い う シ ン グル バス と し て接続する こ と がで き ます。
表 3‐2 : 送信ク ラ イ ア ン ト 側のイ ン タ ー フ ェ イ ス ポー ト の説明
名前
方向
tx_axis_aresetn
説明
入力
送信パス の AXI4-Stream ア ク テ ィ ブ Low リ セ ッ ト 。
s_axis_tx_tdata[63:0]
入力
AXI4-Stream デー タ 。
s_axis_tx_tkeep[7:0]
入力
AXI4-Stream デー タ 制御。
s_axis_tx_tvalid
入力
AXI4-Stream デー タ 有効入力。
s_axis_tx_tuser[0:0]
入力
明示的な ア ン ダー ラ ン を知 ら せ る AXI4-Stream ユーザー信号。
s_axis_tx_tlast
入力
イ ーサネ ッ ト パケ ッ ト の終わ り を知 ら せる AXI4-Stream 信号。
s_axis_tx_tready
出力
デー タ 伝送の開始を知 ら せ る AXI4-Stream 肯定応答信号。
tx_ifg_delay[7:0]
入力
パケ ッ ト 間の IFG を設定 し ます。
送信デー タ s_axis_tx_tdata[63:0] (表 3-3) の 場合、 s_axis_tx_tdata 上 の デー タ が 有効 で あ る こ と を 示す
s_axis_tx_tkeep ワ ー ド の対応ビ ッ ト を使用 し て、 ポー ト が論理的に レーン 0 か ら レーン 7 に分割 さ れます。
表 3‐3 : s_axis_tx_tdata レーン
s_axis_tx_tkeep ビ ッ ト ご と の
レーン数
s_axis_tx_tdata ビ ッ ト
0
7:0
1
15:8
2
23:16
3
31:24
4
39:32
5
47:40
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
88
第 3 章 : コ ア を使用するデザイ ン
表 3‐3 : s_axis_tx_tdata レーン (続き)
s_axis_tx_tkeep ビ ッ ト ご と の
レーン数
s_axis_tx_tdata ビ ッ ト
6
55:48
7
63:56
通常のフ レーム送信
標準受信フ レーム伝送の タ イ ミ ン グは 図 3-9 に示 さ れてい ます。 ク ラ イ ア ン ト が フ レーム を送信す る 必要があ る と
き 、 s_axis_tx_tvalid を アサー ト し 、 同 じ ク ロ ッ ク サ イ ク ルで s_axis_tx_tdata お よ び s_axis_tx_tkeep
にデー タ お よ び制御を配置 し ます。デー タ 開始に対 し 肯定応答す る ため コ アが s_axis_tx_tready を アサー ト し た
後、 次の ク ロ ッ ク エ ッ ジお よ びそれに続 く ク ロ ッ ク エ ッ ジで、 ク ラ イ ア ン ト は フ レーム のデー タ の残 り を コ アに送
信す る 必要があ り ます。 パケ ッ ト の終わ り は、 s_axis_tx_tlast を 1 サ イ ク ル間アサー ト す る こ と で知 ら さ れま
す。 パケ ッ ト が 64 ビ ッ ト のバ ウ ン ダ リ 以外の位置で終わ る と 、 s_axis_tx_tkeep のビ ッ ト が正 し く 設定 さ れます。
た と えば、 図 3-9 では、 最初のパケ ッ ト は レーン 3 で終了 し 、 その後のデー タ はみな無視 さ れます。
s_axis_tx_tlast がデ ィ アサー ト さ れ る と 、s_axis_tx_tvalid が次にアサー ト さ れ る ま ではデー タ も 制御 も 無
効 と みな さ れます。
カ ス タ ム プ リ ア ン ブルが イ ネーブルにな っ てい る 場合、 後続フ レームに対 し 、 コ アにカ ス タ ム プ リ ア ンブルを読み
込むために、 s_axis_tx_tready 信号がフ レームの終わ り でデ ィ アサー ト さ れない こ と があ り ます。
X-Ref Target - Figure 3-9
FON
VBD[LVBW[BWYDOLG
VBD[LVBW[BWUHDG\
VBD[LVBW[BWGDWD>@
'$
6$
'
'
'
'
'$
6$
'
'
'
'
VBD[LVBW[BWGDWD>@
'$
6$
'
'
'
'
'$
6$
'
'
'
'
VBD[LVBW[BWGDWD>@
'$
6$
'
'
'
'
'$
6$
'
'
'
'
VBD[LVBW[BWGDWD>@
'$
6$
'
'
'
'
'$
6$
'
'
'
'
VBD[LVBW[BWGDWD>@
'$
/7
'
'
'
'$
/7
'
'
'
'
VBD[LVBW[BWGDWD>@
'$
/7
'
'
'
'$
/7
'
'
'
VBD[LVBW[BWGDWD>@
6$
'
'
'
'
6$
'
'
'
'
VBD[LVBW[BWGDWD>@
6$
'
'
'
'
6$
'
'
'
'
VBD[LVBW[BWNHHS>@ [
[))
[)
[
[))
[)
VBD[LVBW[BWODVW
図 3‐9 : フ レーム送信
イ ンバン ド イ ーサネ ッ ト フ レーム フ ィ ール ド
で き る かぎ り 柔軟にアプ リ ケーシ ョ ン を切 り 替え る ため、 イ ーサネ ッ ト の フ レーム パ ラ メ ー タ ー (デス テ ィ ネーシ ョ
ン ア ド レ ス、 ソ ース ア ド レ ス、 長 さ / タ イ プ、 お よ びオプシ ョ ンで FCS) は、 別のポー ト ではな く 、 フ レーム ペ イ ロ ー
ド が送信 さ れたの と 同 じ デー タ ス ト リ ーム内にエン コ ー ド さ れます。 こ れは タ イ ミ ン グ図で説明 さ れてい ます。 た と
えば、 デス テ ィ ネーシ ョ ン ア ド レ ス は、 レーン 0 の最初のバ イ ト を使用 し て出力する 必要があ り ます。
同様に、 ソ ース ア ド レ ス の最初のバ イ ト は、 最初の伝送の レーン 6 で出力する 必要があ り ます。 長 さ / タ イ プ フ ィ ー
ル ド は、 同 じ よ う に、 レーン 4 の最初のバ イ ト を使用 し てエン コ ー ド す る 必要があ り ます。 タ イ ミ ン グ図で使用 さ れ
てい る 略語は、 表 3-4 で定義 さ れてい ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
89
第 3 章 : コ ア を使用するデザイ ン
表 3‐4 : タ イ ミ ング図で使用 さ れている略語
略称
定義
DA
デス テ ィ ネーシ ョ ン ア ド レ ス
SA
ソ ース ア ド レ ス
L/T
長 さ / タ イ プ フ ィ ール ド
FCS
フ レーム チ ェ ッ ク シーケ ン ス (CRC)
パデ ィ ング
46 バ イ ト 未満のデー タ が ク ラ イ ア ン ト か ら コ アに出力 さ れ る と き 、 コ アが イ ンバン ド FCS を渡す よ う に設定 さ れて
いなければ、 ト ラ ン ス ミ ッ タ ー モジ ュ ールが フ レーム長 さ の最小値にな る ま でパ ッ ド を追加 し ます。 コ アが イ ンバン
ド FCS を渡す よ う に設定 さ れてい る 場合、 フ レーム長 さ の最小値を維持す る ために、 ク ラ イ ア ン ト がパ ッ ド を追加す
る 必要があ り ます。 イ ンバン ド FCS が イ ネーブルにな っ ていて、 少な く と も 46 バ イ ト のデー タ で フ レーム を コ アが
出力 し ない場合、 フ レームは中断 さ れ、 パ ッ ド は追加 さ れません。
イ ンバン ド FCS を渡す送信
AXI4-Stream 送信 イ ン タ ー フ ェ イ ス で ク ラ イ ア ン ト が FCS フ ィ ール ド を 渡す よ う に コ ア が設定 さ れ て い る 場合、
図 3-10 で説明 し てい る と お り の タ イ ミ ン グで送信が行われ ます。 こ の場合、 フ レーム が イ ーサネ ッ ト の フ レーム長
さ の最小値を満た し てい る こ と を ク ラ イ ア ン ト が検証 し ます。 コ アはペ イ ロ ー ド のパデ ィ ン グ を実行 し ません。 ク ラ
イ ア ン ト が こ の最小値に満た ない長 さ の フ レーム を送信す る と 、 フ レームは統計にカ ウ ン ト さ れません。
X-Ref Target - Figure 3-10
FON
VBD[LVBW[BWYDOLG
VBD[LVBW[BWUHDG\
VBD[LVBW[BWGDWD>@
'$
6$
'
'
'
'$
6$
'
'
VBD[LVBW[BWGDWD>@
'$
6$
'
'
'
'$
6$
'
'
VBD[LVBW[BWGDWD>@
'$
6$
'
'
'
'$
6$
'
'
VBD[LVBW[BWGDWD>@
'$
6$
'
'
'
'$
6$
'
)&6
VBD[LVBW[BWGDWD>@
'$
/7
'
'
)&6
'$
/7
'
)&6
VBD[LVBW[BWGDWD>@
'$
/7
'
'
)&6
'$
/7
'
)&6
VBD[LVBW[BWGDWD>@
6$
'
'
'
)&6
6$
'
'
)&6
VBD[LVBW[BWGDWD>@
6$
'
'
'
)&6
6$
'
'
VBD[LVBW[BWNHHS>@ [
[))
[))
[
[))
[)
VBD[LVBW[BWODVW
図 3‐10 : イ ンバン ド FCS を渡す送信
伝送の中止
ク ラ イ ア ン ト イ ン タ ーフ ェ イ ス上でパケ ッ ト 伝送が中断 さ れ る こ と を ア ン ダー ラ ン と いい ます。 た と えば、 フ レーム
が完了す る 前に、 AXI ク ラ イ ア ン ト イ ン タ ーフ ェ イ ス の FIFO が空にな る と 、 ア ン ダー ラ ンが発生 し ます。 こ の状態
は次の 2 つのいずれかの方法で コ アに知 ら さ れます。
1.
明示的なア ン ダー ラ ン : s_axis_tx_tvalid が High でデー タ 伝送が継続 し てい る 間に、s_axis_tx_tuser が
High にアサー ト さ れて、 フ レーム伝送が中断 さ れます。 (図 3-11 を参照)。 ア ン ダー ラ ン パケ ッ ト には DA、 SA、
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
90
第 3 章 : コ ア を使用するデザイ ン
L/T フ ィ ール ド が含まれてい る 必要があ り ます。 カ ス タ ム プ リ ア ンブルが伝送のために イ ネーブルにな っ ていて
も 、 こ れ ら の フ ィ ール ド が含まれてい る 必要があ り ます。
2.
黙示的なア ン ダー ラ ン : s_axis_tx_tlast を アサー ト せずに、 s_axis_tx_tvalid をデ ィ アサー ト し て、 フ
レーム伝送が中断 さ れます。 (図 3-12 を参照)。
図 3-11 お よ び 図 3-12 はそれぞれ、 ア ン ダー ラ ンの フ レームの後に完了フ レームが続いてい る こ と を示 し てい ます。
こ の 2 つのシナ リ オのいずれかが フ レーム伝送中に発生す る と 、 現在の フ レーム を エ ラ ーのあ る フ レーム と し て フ ラ
グす る ため、 コ アは XGMII デー タ ス ト リ ーム にエ ラ ー コ ー ド を挿入 し 、 ユーザーに よ り 完了 さ れ る ま でユーザー
デー タ を送信 し 続け ます。 図に示 さ れてい る tx_mac_underun 信号は内部信号です。 必要に応 じ て中断 さ れた フ レーム
を再び送信待機 さ せ る のは、 引 き 続 き ク ラ イ ア ン ト の役割 と な り ます。
X-Ref Target - Figure 3-11
FON
VBD[LVBW[BWYDOLG
VBD[LVBW[BWUHDG\
VBD[LVBW[BWGDWD>@
'$
6$
'
'
'
'$
6$
'
'
'
VBD[LVBW[BWGDWD>@
'$
6$
'
'
'
'$
6$
'
'
'
VBD[LVBW[BWGDWD>@
'$
6$
'
'
'
'$
6$
'
'
'
VBD[LVBW[BWGDWD>@
'$
6$
'
'
'
'$
6$
'
'
'
VBD[LVBW[BWGDWD>@
'$
/7
'
'
'
'$
/7
'
'
'
VBD[LVBW[BWGDWD>@
'$
/7
'
'
'
'$
/7
'
'
VBD[LVBW[BWGDWD>@
6$
'
'
'
'
6$
'
'
'
VBD[LVBW[BWGDWD>@
6$
'
'
'
'
6$
'
'
'
VBD[LVBW[BWNHHS>@ [
[))
[))
[)
[
VBD[LVBW[BWODVW
VBD[LVBWXVHU>@
図 3‐11 : s_axis_tx_tuser がアサー ト さ れた フ レーム伝送の中断
X-Ref Target - Figure 3-12
FON
VBD[LVBW[BWYDOLG
VBD[LVBW[BWUHDG\
VBD[LVBW[BWGDWD>@
'$
6$
'
'
'$
6$
'
'
'
VBD[LVBW[BWGDWD>@
'$
6$
'
'
'$
6$
'
'
'
VBD[LVBW[BWGDWD>@
'$
6$
'
'
'$
6$
'
'
'
VBD[LVBW[BWGDWD>@
'$
6$
'
'
'$
6$
'
'
'
VBD[LVBW[BWGDWD>@
'$
/7
'
'
'$
/7
'
'
'
VBD[LVBW[BWGDWD>@
'$
/7
'
'
'$
/7
'
'
VBD[LVBW[BWGDWD>@
6$
'
'
'
6$
'
'
'
VBD[LVBW[BWGDWD>@
6$
'
'
'
6$
'
'
'
VBD[LVBW[BWNHHS>@ [
[))
[
[))
[)
[
VBD[LVBW[BWODVW
VBD[LVBWXVHU>@
図 3‐12 : s_axis_tx_tvalid がデ ィ アサー ト さ れた フ レーム伝送の中断 注記 : 図 3-12 に あ る メ カ ニ ズ ム を使用 し た フ レ ーム伝送の中断は、 最初の フ レ ーム を完了 さ せ る のに TLAST がア
サー ト さ れていないため、AXI4 に完全には準拠 し てい ません。AXI4 へ準拠 し てい る こ と が重要であ る 場合は、図 3-11
の メ カ ニズ ム を使用 し て く だ さ い。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
91
第 3 章 : コ ア を使用するデザイ ン
連続デー タ 伝送
イ ーサネ ッ ト パケ ッ ト の終わ り を示すためにアサー ト さ れてい るs_axis_tx_tlast だけで定義 さ れてい る パケ ッ
ト バ ウ ン ダ リ で、 s_axis_tx_tvalid 信号を継続的に High に維持で き る ため、 送信 AXI4-Stream イ ン タ ーフ ェ イ
ス での連続デー タ 送信は可能です。 し か し 、 コ ア の XGMII 部のパ ケ ッ ト 間隔に関す る 要件 を満たすた め、 コ ア は
s_axis_tx_tready 肯定応答信号を遅 ら せる こ と がで き ます。
X-Ref Target - Figure 3-13
FON
VBD[LVBW[BWYDOLG
VBD[LVBW[BWUHDG\
VBD[LVBW[BWGDWD>@
'$
6$
'
'
'
'
'$
6$
'
'
'
'
'
VBD[LVBW[BWGDWD>@
'$
6$
'
'
'
'
'$
6$
'
'
'
'
'
VBD[LVBW[BWGDWD>@
'$
6$
'
'
'
'
'$
6$
'
'
'
'
'
VBD[LVBW[BWGDWD>@
'$
6$
'
'
'
'
'$
6$
'
'
'
'
'
VBD[LVBW[BWGDWD>@
'$
/7
'
'
'
'$
/7
'
'
'
'
'
VBD[LVBW[BWGDWD>@
'$
/7
'
'
'
'$
/7
'
'
'
'
VBD[LVBW[BWGDWD>@
6$
'
'
'
'
6$
'
'
'
'
'
VBD[LVBW[BWGDWD>@
6$
'
'
'
'
6$
'
'
'
'
'
VBD[LVBW[BWNHHS>@ [
[))
[)
[))
[)
VBD[LVBW[BWODVW
図 3‐13 : 送信ク ラ イ ア ン ト イ ン タ ー フ ェ イ スの連続伝送 カ ス タ ム プ リ ア ン ブルの送信
カ ス タ ム プ リ ア ン ブル フ ィ ール ド を使用す る よ う 選択す る こ と がで き ま す。 こ の機能が選択 さ れてい る 場合 ( コ ン
フ ィ ギ ュ レーシ ョ ン ビ ッ ト の使用については 「10G Ethernet MAC コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ 」 を参照)、 標準
プ リ ア ンブル フ ィ ール ド を カ ス タ ム デー タ に置 き 換え る こ と がで き ます。 s_axis_tx_tvalid が最初に High にア
サ ー ト さ れ た と き に、 最 初 の 列 の s_axis_tx_tdata[63:8] で、 カ ス タ ム デー タ を 出力す る 必要 が あ り ま す。
s_axis_tx_tvalid の連続モー ド お よ び非連続モー ド の ど ち ら で も カ ス タ ム プ リ ア ンブルは送信で き ます。図 3-14
は、 カ ス タ ム プ リ ア ン ブルの あ る 送信 ク ラ イ ア ン ト イ ン タ ー フ ェ イ ス に あ る フ レ ー ム を 表 し て い ま す。 「P」 は
s_axis_tx_tlast の後に s_axis_tx_tvalid がアサー ト さ れ る と き のカ ス タ ム デー タ バ イ ト を表 し てい ます。
図 3-15 は、 s_axis_tx_tlast がアサー ト さ れた後 も s_axis_tx_tvalid がアサー ト さ れた ま ま にな っ てい る と
き のカ ス タ ム プ リ ア ンブルの送信を表 し てい ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
92
第 3 章 : コ ア を使用するデザイ ン
X-Ref Target - Figure 3-14
FON
VBD[LVBW[BWYDOLG
VBD[LVBW[BWUHDG\
VBD[LVBW[BWGDWD>@
6
'$
6$
'
'
'
6
'$
6$
'
'
'
'
VBD[LVBW[BWGDWD>@
3
'$
6$
'
'
'
3
'$
6$
'
'
'
'
VBD[LVBW[BWGDWD>@
3
'$
6$
'
'
'
3
'$
6$
'
'
'
'
VBD[LVBW[BWGDWD>@
3
'$
6$
'
'
'
3
'$
6$
'
'
'
'
VBD[LVBW[BWGDWD>@
3
'$
/7
'
'
3
'$
/7
'
'
'
'
VBD[LVBW[BWGDWD>@
3
'$
/7
'
'
3
'$
/7
'
'
'
VBD[LVBW[BWGDWD>@
3
6$
'
'
'
3
6$
'
'
'
'
VBD[LVBW[BWGDWD>@
3
6$
'
'
'
3
6$
'
'
'
'
VBD[LVBW[BWNHHS>@ [
[))
[)
[
[))
[)
[
VBD[LVBW[BWODVW
図 3‐14 : カ ス タ ム プ リ ア ン ブルの送信 (非連続の場合)
X-Ref Target - Figure 3-15
FON
VBD[LVBW[BWYDOLG
VBD[LVBW[BWUHDG\
VBD[LVBW[BWGDWD>@
6
'$
6$
'
'
'
6
'$
6$
'
'
'
'
VBD[LVBW[BWGDWD>@
3
'$
6$
'
'
'
3
'$
6$
'
'
'
'
VBD[LVBW[BWGDWD>@
3
'$
6$
'
'
'
3
'$
6$
'
'
'
'
VBD[LVBW[BWGDWD>@
3
'$
6$
'
'
'
3
'$
6$
'
'
'
'
VBD[LVBW[BWGDWD>@
3
'$
/7
'
'
3
'$
/7
'
'
'
'
VBD[LVBW[BWGDWD>@
3
'$
/7
'
'
3
'$
/7
'
'
'
VBD[LVBW[BWGDWD>@
3
6$
'
'
'
3
6$
'
'
'
'
VBD[LVBW[BWGDWD>@
3
6$
'
'
'
3
6$
'
'
'
'
VBD[LVBW[BWNHHS>@ [
[))
[)
[))
[)
[
VBD[LVBW[BWODVW
図 3‐15 : カ ス タ ム プ リ ア ン ブルの送信 (連続の場合)
コ アは IEEE 規格のプ リ ア ンブルを ク ラ イ ア ン ト ロ ジ ッ ク で提供 さ れてい る も のに置 き 換え ます。
VLAN タ グ フ レーム
VLAN タ グ フ レームの送信は ( イ ネーブルにな っ てい る 場合) 図 3-16 に示 さ れてい ます。 イ ン タ ーフ ェ イ ス上でハン
ド シ ェ ー ク 信号は変化 し ませんが、 フ レーム に VLAN タ グが付いてい る こ と を知 ら せ る ため、 VLAN タ イ プの タ グ
81-00 を ク ラ イ ア ン ト が出力す る 必要があ り ます。 ま た、 ク ラ イ ア ン ト は、 2 バ イ ト の タ グ制御情報であ る V1 お よ び
V2 も 、デー タ ス ト リ ームの適当な タ イ ミ ン グで出力 し ます。 こ の 2 バ イ ト については、IEEE 規格 802.3-2012 [参照 1]
を参照 し て く だ さ い。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
93
第 3 章 : コ ア を使用するデザイ ン
X-Ref Target - Figure 3-16
FON
VBD[LVBW[BWYDOLG
VBD[LVBW[BWUHDG\
VBD[LVBW[BWGDWD>@
'$
6$
/7
'
'
'
'$
6$
/7
'
'
'
VBD[LVBW[BWGDWD>@
'$
6$
/7
'
'
'
'$
6$
/7
'
'
'
VBD[LVBW[BWGDWD>@
'$
6$
'
'
'
'
'$
6$
'
'
'
'
VBD[LVBW[BWGDWD>@
'$
6$
'
'
'
'
'$
6$
'
'
'
'
VBD[LVBW[BWGDWD>@
'$
'
'
'
'$
'
'
'
'
VBD[LVBW[BWGDWD>@
'$
'
'
'
'$
'
'
'
VBD[LVBW[BWGDWD>@
'$
9
'
'
'
'$
9
'
'
'
VBD[LVBW[BWGDWD>@
'$
9
'
'
'
'$
9
'
'
'
VBD[LVBW[BWNHHS>@ [
[))
[)
[
[))
[)
[
VBD[LVBW[BWODVW
図 3‐16 : VLAN タ グ フ レーム送信
ト ラ ン ス ミ ッ タ ーのフ レームの長 さ の最大値
IEEE 規格 802.3-2012 は、 VLAN タ グのない フ レームの場合は、 最大の長 さ を 1,518 バ イ ト と 規定 し ていて、 VLAN
タ グ フ レームの場合は、 1,522 に ま で拡張する こ と が可能です。 ジ ャ ン ボ フ レーム処理がデ ィ ス エーブルにな っ てい
て、 こ の最大値を超え る 長 さ の フ レーム を ク ラ イ ア ン ト が送信 し よ う と す る と 、 コ アは現在のフ レームにエ ラ ー コー
ド を挿入し、 長 さ が最大値にな る よ う にその フ レームはカ ッ ト さ れます。 ジ ャ ン ボ フ レーム処理が イ ネーブルにな っ
てい る と 、 最大値を超え る 長 さ の フ レームであ っ て も 、 エ ラ ーのない も の と し て送信 さ れます。
必要であれば、 カ ス タ ムの MTU (Maximum Transmission Unit) を コ アにプ ロ グ ラ ムす る こ と がで き ます。 そ う す る と 、
IEEE で規定 さ れてい る 1,518/1,522 の制限値以上の、 プ ロ グ ラ ム さ れてい る MTU サ イ ズ ま での フ レーム を送信で き
ます。 MTU は  1,518 にプ ロ グ ラ ム で き ます。
こ の MTU を超え る フ レーム を送信 し た場合、 ジ ャ ン ボ フ レームがデ ィ ス エーブルにな っ てい る と 、 その フ レームは
不正フ レーム と みな さ れ、 エ ラ ー コ ー ド が挿入 さ れて、 フ レームは切 り 詰め ら れ ます。 ジ ャ ン ボ フ レーム処理の イ
ネーブル/デ ィ ス エーブルについては、 「10G Ethernet MAC コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ 」 を参照 し て く だ さ い。
重要 : フ レーム の長 さ 処理は コ ン フ ィ ギ ュ レーシ ョ ン ビ ッ ト の設定に左右 さ れ ます。 ま ず、 ジ ャ ン ボ イ ネーブル と
MTU フ レーム伝送 イ ネーブルが同時に イ ネーブルにな っ てい る 場合、 ジ ャ ン ボ イ ネーブルのほ う が優先 さ れ ます。
次に、 VLAN イ ネーブル と MTU フ レーム伝送 イ ネーブルが ど ち ら も イ ネーブルにな っ てい る 場合、 MTU にプ ロ グ ラ
ム さ れてい る フ レームの長 さ が適用 さ れます。
IFG の調整
IFG の長 さ は変更可能です。 こ の機能が選択 さ れてい る 場合 ( コ ン フ ィ ギ ュ レーシ ョ ン ビ ッ ト の使用については 「10G
Ethernet MAC コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ 」 を参照)、 リ ク エ ス ト さ れてい る 数の XGMII 列が通過す る ま で、 コ
アは次の フ レームの送信を遅 ら せます。XGMII 列の数は tx_ifg_delay ポー ト の値に よ っ て制御 さ れます。3 つの XGMII
列の IFG の最小値 (12 バ イ ト ) は常に保たれてい ます。 図 3-17 は、 こ のモー ド での コ ア操作を説明 し てい ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
94
第 3 章 : コ ア を使用するデザイ ン
X-Ref Target - Figure 3-17
FON
VBD[LVBW[BWYDOLG
VBD[LVBW[BWUHDG\
VBD[LVBW[BWGDWD>@
'$
6$
'
'
'
'
'$
6$
'
'
'
'
'
VBD[LVBW[BWGDWD>@
'$
6$
'
'
'
'
'$
6$
'
'
'
'
'
VBD[LVBW[BWGDWD>@
'$
6$
'
'
'
'
'$
6$
'
'
'
'
'
VBD[LVBW[BWGDWD>@
'$
6$
'
'
'
'
'$
6$
'
'
'
'
'
VBD[LVBW[BWGDWD>@
'$
/7
'
'
'
'$
/7
'
'
'
'
'
VBD[LVBW[BWGDWD>@
'$
/7
'
'
'
'$
/7
'
'
'
'
VBD[LVBW[BWGDWD>@
6$
'
'
'
'
6$
'
'
'
'
'
VBD[LVBW[BWGDWD>@
6$
'
'
'
'
6$
'
'
'
'
'
VBD[LVBW[BWNHHS>@ [
[))
[)
[))
[)
VBD[LVBW[BWODVW
W[BLIJBGHOD\>@
,)*B'(/$<B9$/8(
,)*B'(/$<B9$/8(
図 3‐17 : IFG の調整
DIC (Deficit Idle Count)
コ アの送信部は、IEEE 802.3-2012[参照 1] に説明 さ れてい る よ う に、10Gb/s の実効デー タ レー ト を維持する ため、DIC
(Deficit Idle Count) を使用 し て、 IFG (interframe gap) をサポー ト し ます。 AXI4-Stream が イ ンバン ド FCS の有無に関わ
ら ず イ ーサネ ッ ト をパケ ッ ト を送信す る と き に も 、 こ の機能はサポー ト さ れてい ます。 カ ス タ ム プ リ ア ンブルが伝送
のために イ ネーブルにな っ ていて も 、 こ れはサポー ト さ れてい ます。 し か し 、 送信ス ト リ ー ミ ン グ イ ン タ ーフ ェ イ ス
の場合は、DIC で IFG を調整 し て 10Gb/s の実効デー タ レー ト を維持す る には、s_axis_tx_tvalid を継続的に High
に維持す る 必要があ り ます。
ロー カル/ リ モー ト フ ォル ト 受信中の フ レーム送信
ロ ーカルま たは リ モー ト フ ォ ル ト が受信 さ れ る と 、 フ ォ ル ト 禁止がデ ィ ス エーブルにな っ てい る 場合 ( コ ン フ ィ ギ ュ
レーシ ョ ン ビ ッ ト の使用については 「10G Ethernet MAC コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ 」 を参照)、 コ アはフ レー
ム を送信 し ない こ と があ り ます。 フ ォ ル ト 禁止がデ ィ ス エーブルだ と 、 リ コ ン シ リ エーシ ョ ン サブ レ イ ヤー (RS) が
IEEE 規格 802.3-2012[参照 1] で規定 さ れてい る 順序セ ッ ト を送信 し ます。つま り 、RS が ロ ーカル フ ォ ル ト の順序セ ッ
ト を受信 し てい る と き 、 RS は リ モー ト フ ォ ル ト の順序セ ッ ト を送信 し ます。 リ モー ト フ ォ ル ト の順序セ ッ ト を受信
し てい る と き は、 IDLE コ ー ド ワー ド を送信 し ます。 管理 イ ン タ ーフ ェ イ ス が コ アに含まれてい る 場合、 ロ ーカルお
よ び リ モー ト フ ォ ル ト の レ ジ ス タ ビ ッ ト の ス テー タ ス は監視 さ れ (RS コ ン フ ィ ギ ュ レーシ ョ ン ワー ド のビ ッ ト 28 お
よ び 29、 ア ド レ ス 0x410)、 こ の両方が ク リ アにな る と 、 コ アは フ レーム受信の準備が整っ た こ と にな り ま す。 管理
イ ン タ ーフ ェ イ ス が コ アに含ま れていない場合は、 ロ ーカルお よ び リ モー ト フ ォ ル ト の レ ジ ス タ ビ ッ ト の ス テー タ
ス は、 ス テー タ ス ベ ク タ ーのビ ッ ト 1 お よ び 0 で監視で き ます。
注記 : 両方の レ ジ ス タ ビ ッ ト が ク リ アにな る 前に ク ラ イ ア ン ト イ ン タ ーフ ェ イ ス に出力 さ れてい る フ レームはすべ
て コ アに よ っ て破棄 さ れます。
フ ォ ル ト 禁止モー ド が イ ネーブルにな っ てい る 場合、受信 さ れてい る 順序セ ッ ト が ロ ーカル フ ォ ル ト なのか リ モー ト
フ ォ ル ト なのかにかかわ ら ず、 コ アはデー タ を通常通 り に送信 し ます。
受信 AXI4‐Stream イ ン タ ー フ ェ イ ス
通常のフ レーム受信
受信 ク ラ イ ア ン ト 側の イ ン タ ーフ ェ イ ス は AXI4-Stream イ ン タ ーフ ェ イ ス をサポー ト し ます。64 ビ ッ ト のデー タ パ ス
があ り 、 64 ビ ッ ト ポー ト 内でバ イ ト を区切 る ための 8 つの制御ビ ッ ト も 含まれます。 さ ら に、 前に受信 し た フ レーム
の有効性を ユーザー ロ ジ ッ ク に知 ら せ る ための信号 も あ り ます。 表 3-5 にはその信号が定義 さ れてい ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
95
第 3 章 : コ ア を使用するデザイ ン
IP イ ン テグ レーターで こ の イ ン ターフ ェ イ ス を接続する と き、表 3-5 の信号は表示 さ れ (rx_axis_aresetn を除 く )、
シ ン グル バス と し て接続する こ と がで き ます。 こ のバ ス は m_axis_rx と 呼ばれます。
表 3‐5 : 受信ク ラ イ ア ン ト 側のイ ン タ ー フ ェ イ ス ポー ト の説明
名前
方向
説明
rx_axis_aresetn
入力
m_axis_rx_tdata[63:0
]
出力
m_axis_rx_tkeep[7:0]
出力
上位層への AXI4-Stream デー タ 制御。
m_axis_rx_tvalid
出力
AXI4-Stream デー タ 有効
m_axis_rx_tuser
出力
AXI4-Stream ユーザー サ イ ド バン ド イ ン タ ーフ ェ イ ス。
0 は不正パケ ッ ト が受信 さ れた こ と を示す。
1 は不正パケ ッ ト が受信 さ れた こ と を示す。
m_axis_rx_tlast
出力
パケ ッ ト の終わ り を示す AXI4-Stream 信号。
受信パス の AXI4-Stream ア ク テ ィ ブ Low リ セ ッ ト 。
上位層への AXI4-Stream デー タ 。
受信デー タ ポー ト m_axis_rx_tdata[63:0] (表 3-6) の場合、 m_axis_rx_tdata 上のデー タ が有効であ る こ と を示
す m_axis_rx_tkeep ワー ド の対応ビ ッ ト を使用 し て、 ポー ト が論理的に レーン 0 か ら レーン 7 に分割 さ れます。
表 3‐6 : m_axis_rx_tdata レーン
m_axis_rx_tkeep ビ ッ ト ご と の
レーン数
m_axis_rx_tdata ビ ッ ト
0
7:0
1
15:8
2
23:16
3
31:24
4
39:32
5
47:40
6
55:58
7
63:56
標準受信 フ レ ーム伝送の タ イ ミ ン グは 図 3-18 に示 さ れてい ま す。 ク ラ イ ア ン ト は常にデー タ を受け入れ る 準備が
整っ てい る 必要があ り 、 受信 ク ラ イ ア ン ト での レ イ テ ン シに対処す る ためのバ ッ フ ァ ー機能は コ ア内にはあ り ま せ
ん。 フ レーム受信が始ま る と 、 連続 し た ク ロ ッ ク サ イ ク ルでデー タ が受信 ク ラ イ ア ン ト に伝送 さ れます。
m_axis_rx_tlast お よ び m_axis_rx_tuser 信号は、 すべての フ レーム チ ェ ッ ク が完了 し た後に初めて、 伝送 さ
れ る 最終バ イ ト と と も にアサー ト さ れます。 こ れは FCS フ ィ ール ド が受信 さ れた後です。 フ レームが正 し く 受信で き
た こ と 、 そ し て フ レーム を ク ラ イ ア ン ト で解析す る 必要があ る こ と を知 ら せ る ため、 コ アは m_axis_rx_tuser 信
号を アサー ト し ます。 ま た、 パケ ッ ト の終わ り は、 1 ク ロ ッ ク サ イ ク ル間 m_axis_rx_tlast がアサー ト さ れ る こ
と で知 ら さ れます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
96
第 3 章 : コ ア を使用するデザイ ン
X-Ref Target - Figure 3-18
FON
PBD[LVBU[BWYDOLG
PBD[LVBU[BWGDWD>@
'$
6$
'
'
'
'
'
'
'
PBD[LVBU[BWGDWD>@
'$
6$
'
'
'
'
'
'
'
PBD[LVBU[BWGDWD>@
'$
6$
'
'
'
'
'
'
'
PBD[LVBU[BWGDWD>@
'$
6$
'
'
'
'
'
'
PBD[LVBU[BWGDWD>@
'$
/7
'
'
'
'
'
'
PBD[LVBU[BWGDWD>@
'$
/7
'
'
'
'
'
'
PBD[LVBU[BWGDWD>@
6$
'
'
'
'
'
'
'
PBD[LVBU[BWGDWD>@
6$
'
'
'
'
'
'
'
PBD[LVBU[BWNHHS>@ [
[))
[))
[
PBD[LVBU[BWODVW
PBD[LVBU[BWXVHU
図 3‐18 : 有効な フ レームの受信
有効ま たは不正 フ レームの タ イ ミ ング
図 3-18 は、フ レームが有効であ る こ と が確認で き る ま でに最大 7 ク ロ ッ ク ま でのギ ャ ッ プがあ る こ と を示し てい ます。
フ レームの有効性は、 m_axis_rx_tuser の設定 (1 ま たは 0)、 お よ び m_axis_rx_tlast のアサー ト に よ り 決ま り
ます。 こ の ス テー タ スは、 すべてのフ レーム チ ェ ッ ク が完了し た後に示 さ れます。 こ の タ イ ム ラ グは、 フ レームの長
さ /タ イ プ フ ィ ール ド の値が有効であ る と き、 最終有効デー タ が出力 さ れた後、 最大で 7 ク ロ ッ ク サ イ ク ルにな る可能
性があ り ます。 た と えば、 こ れは イ ーサネ ッ ト フ レームの終わ り に追加 さ れてい る パ ッ ド に左右 さ れる こ と があ り ま
す。 フ レームの長 さ / タ イ プ フ ィ ール ド が不正で、 フ レーム サ イ ズが示 さ れてい る値よ り も 大き い場合、 こ のフ レーム
は不正 と な り 、 m_axis_rx_tlast が、 有効デー タ の終わ り の後、 7 ク ロ ッ ク サ イ ク ル よ り も も っ と 早い タ イ ミ ン グ
でデ ィ アサー ト さ れ る 可能性があ り ます。 有効な フ レームの受信が説明 さ れてい ますが、 不正フ レームの場合 も タ イ
ミ ン グは同 じ です。 フ レームが有効であ っ て も 、不正であ っ て も 、m_axis_rx_tuser および m_axis_rx_tlast は、
次のデータ が m_axis_rx_tdatarx_axis_tdata にあ ら われ始め る前に、 常にアサー ト さ れます。
エ ラ ーのあ る フ レーム受信
不正な フ レ ームや、 間違っ た FCS のあ る フ レ ーム な ど、 問題のあ る フ レ ーム受信は、 図 3-19 で説明 さ れてい ま す。
不正フ レーム が受信 さ れ る と 、 フ レームの最後で ク ラ イ ア ン ト に対 し m_axis_rx_tuser 信号がデ ィ アサー ト さ れ
ます。 こ れを受け、 ク ラ イ ア ン ト は こ の フ レームに対 し 既に伝送 さ れてい る デー タ を破棄 し ます。
次 の コ ン デ ィ シ ョ ン が 起 き る と 、 m_axis_rx_tuser = 0 と な っ て フ レ ー ム が 不正 で あ る こ と が 知 ら さ れ、
m_axis_rx_tlast がアサー ト さ れます。
•
FCS エ ラ ーが発生。
•
パケ ッ ト が 64 バ イ ト よ り も 短い (サ イ ズが小 さ い、 ま たはフ レームが細か く 分割 さ れてい る )。
•
ジ ャ ン ボ フ レームが イ ネーブルにな っ ていないのにジ ャ ン ボ フ レーム を受信。
•
プ ロ グ ラ ム さ れてい る MTU サ イ ズ よ り も 長い フ レームが受信 さ れ、 MTU サ イ ズ イ ネーブル フ レームが イ ネー
ブルで、 ジ ャ ン ボ フ レームが イ ネーブルにな っ ていない。
•
長 さ / タ イ プ フ ィ ール ド が長 さ にな っ てい る が、 受信フ レームの実際の長 さ が こ の フ ィ ール ド の値 と 一致 し ない
(長 さ / タ イ プ チ ェ ッ ク が イ ネーブルにな っ てい る 場合)。
•
長 さ / タ イ プ フ ィ ール ド が長 さ にな っ ていて、 その長 さ が 46 未満にな っ てい る 。 こ の場合、 フ レームの長 さ が最
小値にな る よ う にパ ッ ド が追加 さ れ る はずです。 最小値ま で正確にパ ッ ド が追加 さ れていない場合、 フ レームは
不正 と みな さ れます (長 さ / タ イ プ チ ェ ッ ク が イ ネーブルにな っ てい る 場合).
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
97
第 3 章 : コ ア を使用するデザイ ン
•
制御フ レーム長 さ チ ェ ッ ク デ ィ ス エーブルが設定 さ れていない場合で、受信す る 制御フ レームが フ レーム長 さ の
最小値でない。
•
XGMII デー タ ス ト リ ームにエ ラ ー コ ー ド が含まれてい る 。
•
フ ロ ー制御が イ ネーブルにな っ てい る 場合で、 コ アへのア ド レ ス が指定 さ れてい る 有効な一旦停止フ レーム を受
信。 こ の フ レームは MAC フ ロ ー制御 ロ ジ ッ ク で使用 さ れていて、 その役割を果た し てい る ので、 エ ラ ー と し て
みな さ れます。
•
PFC が イ ネーブルにな っ てい る 場合で、 コ アへのア ド レ ス が指定 さ れてい る 有効な PFC (Priority Flow Control)フ
レーム を受信。 こ の フ レームは MAC PFC ロ ジ ッ ク で使用 さ れていて、 その役割を果た し てい る ので、 エ ラ ー と
し てみな さ れます。
X-Ref Target - Figure 3-19
FON
PBD[LVBU[BWYDOLG
PBD[LVBU[BWGDWD>@
'$
6$
'
'
'
'
'
'
'
PBD[LVBU[BWGDWD>@
'$
6$
'
'
'
'
'
'
'
PBD[LVBU[BWGDWD>@
'$
6$
'
'
'
'
'
'
'
PBD[LVBU[BWGDWD>@
'$
6$
'
'
'
'
'
'
'
PBD[LVBU[BWGDWD>@
'$
/7
'
'
'
'
'
'
PBD[LVBU[BWGDWD>@
'$
/7
'
'
'
'
'
'
PBD[LVBU[BWGDWD>@
6$
'
'
'
'
'
'
'
PBD[LVBU[BWGDWD>@
6$
'
'
'
'
'
'
'
PBD[LVBU[BWNHHS>@ [
[))
[))
[)
PBD[LVBU[BWODVW
PBD[LVBU[BWXVHU
図 3‐19 : エ ラ ーのある フ レームの受信
イ ンバン ド FCS を渡す受信
図 3-20 は FCS フ ィ ール ド を ク ラ イ ア ン ト に渡す よ う に設定 さ れてい る コ ア を説明 し てい ます (「10G Ethernet MAC コ
ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ 」 を参照)。 イ ーサネ ッ ト で規定 さ れてい る フ レーム長 さ の最小値を満たすために フ
レームに追加 さ れ る パ ッ ド は、 その ま ま の状態で ク ラ イ ア ン ト に渡 さ れます。 FCS は ク ラ イ ア ン ト に渡 さ れ ますが、
こ れは コ アで も 検証 さ れ、m_axis_rx_tlast がアサー ト さ れ る と m_axis_rx_tuser は 0 にな り ます (FCS チ ェ ッ
ク がエ ラ ーの場合)。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
98
第 3 章 : コ ア を使用するデザイ ン
X-Ref Target - Figure 3-20
FON
PBD[LVBU[BWYDOLG
PBD[LVBU[BWGDWD>@
'$
6$
'
'
'
'
'
'
'
PBD[LVBU[BWGDWD>@
'$
6$
'
'
'
'
'
'
'
PBD[LVBU[BWGDWD>@
'$
6$
'
'
'
'
'
'
'
PBD[LVBU[BWGDWD>@
'$
6$
'
'
'
'
'
'
)&6
PBD[LVBU[BWGDWD>@
'$
/7
'
'
'
'
'
'
)&6
PBD[LVBU[BWGDWD>@
'$
/7
'
'
'
'
'
'
)&6
PBD[LVBU[BWGDWD>@
6$
'
'
'
'
'
'
'
)&6
PBD[LVBU[BWGDWD>@
6$
'
'
'
'
'
'
'
PBD[LVBU[BWNHHS>@ [
[))
[))
[)
PBD[LVBU[BWODVW
PBD[LVBU[BWXVHU
図 3‐20 : イ ンバン ド FCS を渡す フ レーム受信
カ ス タ ム プ リ ア ン ブルの受信
カ ス タ ム プ リ ア ン ブル フ ィ ール ド を使用す る よ う 選択す る こ と がで き ま す。 こ の機能が選択 さ れてい る 場合 ( コ ン
フ ィ ギ ュ レーシ ョ ン ビ ッ ト の使用については 「10G Ethernet MAC コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ 」 を参照)、 プ リ
ア ンプル フ ィ ール ド を受信デー タ か ら 回復 さ せ、 ク ラ イ ア ン ト AXI4-Stream 受信 イ ン タ ーフ ェ イ ス に出力で き ます。
こ のモー ド が イ ネーブルにな っ てい る と 、 カ ス タ ム プ リ ア ン ブル デー タ が m_axis_rx_tdata[63:8] に出力 さ れ ま
す。 m_axis_rx_tkeep 出力は、 カ ス タ ム プ リ ア ンブルを フ レームす る ためにアサー ト さ れます。 図 3-21 は、 カ ス
タ ム プ リ ア ンブルのあ る フ レームの受信を説明 し てい ます。
X-Ref Target - Figure 3-21
FON
PBD[LVBU[BWYDOLG
PBD[LVBU[BWGDWD>@
[)%
'$
6$
'
'
'
'
'
'
PBD[LVBU[BWGDWD>@
3
'$
6$
'
'
'
'
'
'
PBD[LVBU[BWGDWD>@
3
'$
6$
'
'
'
'
'
'
PBD[LVBU[BWGDWD>@
3
'$
6$
'
'
'
'
'
PBD[LVBU[BWGDWD>@
3
'$
/7
'
'
'
'
'
PBD[LVBU[BWGDWD>@
3
'$
/7
'
'
'
'
'
PBD[LVBU[BWGDWD>@
3
6$
'
'
'
'
'
'
PBD[LVBU[BWGDWD>@
3
6$
'
'
'
'
'
'
PBD[LVBU[BWNHHS>@ [
[))
[))
[
PBD[LVBU[BWODVW
PBD[LVBU[BWXVHU
図 3‐21 : カ ス タ ム プ リ ア ン ブルのある フ レーム受信
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
99
第 3 章 : コ ア を使用するデザイ ン
VLAN タ グ フ レーム
VLAN タ グ フ レームの受信は ( イ ネーブルにな っ てい る 場合) 図 3-22 に示 さ れてい ます。フ レームが VLAN タ グ と し
て識別 さ れ る よ う に、 VLAN フ レームは ク ラ イ ア ン ト に渡 さ れます。 こ の後に、 タ グ制御情報バ イ ト 、 V1 お よ び V2
が続 き ます。 こ れ ら のバ イ ト の処理については、 IEEE 規格 802.3-2012 [参照 1] を参照 し て く だ さ い。 VLAN タ グ フ
レームはすべて タ イ プ フ レーム と し て処理 さ れます。 つま り 、 追加 さ れてい る パ ッ ド は有効 と みな さ れ、 ク ラ イ ア ン
ト に渡 さ れ ま す。 フ レ ーム が VLAN タ グ の場合、 その後に続 く 長 さ フ ィ ール ド はチ ェ ッ ク さ れず、 長 さ / タ イ プ エ
ラ ーはアサー ト さ れません。
X-Ref Target - Figure 3-22
FON
PBD[LVBU[BWYDOLG
PBD[LVBU[BWGDWD>@
'$
6$
/7
'
'
'
'
'
'
PBD[LVBU[BWGDWD>@
'$
6$
/7
'
'
'
'
'
'
PBD[LVBU[BWGDWD>@
'$
6$
'
'
'
'
'
'
'
PBD[LVBU[BWGDWD>@
'$
6$
'
'
'
'
'
'
PBD[LVBU[BWGDWD>@
'$
'
'
'
'
'
'
PBD[LVBU[BWGDWD>@
'$
'
'
'
'
'
'
PBD[LVBU[BWGDWD>@
6$
9
'
'
'
'
'
'
6$
9
'
'
'
'
'
'
PBD[LVBU[BWGDWD>@
PBD[LVBU[BWNHHS>@ [
[))
[))
[
PBD[LVBU[BWODVW
PBD[LVBU[BWXVHU
図 3‐22 : VLAN タ グ フ レームのある フ レーム受信
レ シーバーのフ レームの長 さ の最大値
IEEE 規格 802.3-2012 [参照 1] で規定 さ れてい る フ レームの長 さ の最大値は、 VLAN タ グ フ レーム以外の フ レームの
場合は、 1,518 バ イ ト です。 VLAN タ グ フ レーム の場合は、 1,522 にな る こ と があ り ます。 ジ ャ ン ボ フ レーム処理が
デ ィ ス エーブルにな っ ていて、 最大値を超え る 長 さ の フ レーム を コ アが受信す る と 、 m_axis_rx_tlast がアサー ト
さ れた と き に m_axis_rx_tuser が 0 にな り 、 フ レームが不正であ る こ と を知 ら せます。 ジ ャ ン ボ フ レーム処理が
イ ネーブルにな っ てい る と 、 最大値を超え る 長 さ の フ レームは、 短い フ レーム と 同 じ よ う に受信 さ れます。
必要であれば、 カ ス タ ムの MTU (Maximum Transmission Unit) を コ アにプ ロ グ ラ ムす る こ と がで き ます。 そ う す る と 、
IEEE で規定 さ れてい る 1,518/1,522 の制限値以上の、 プ ロ グ ラ ム さ れてい る MTU サ イ ズ ま での フ レーム を受信で き
ます。 プ ロ グ ラ ム さ れ る MTU は 1,518 以上であ る 必要があ り ます。
こ の MTU を超え る フ レーム を受信 し た場合、 ジ ャ ン ボ フ レームがデ ィ ス エーブルにな っ てい る 場合は、 その フ レー
ムは不正フ レーム と みな さ れます。
ジ ャ ン ボ フ レーム処理お よ び MTU フ レームの処理の イ ネーブル/デ ィ ス エーブルについては、 「10G Ethernet MAC コ
ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ 」 を参照 し て く だ さ い。
長 さ / タ イ プ フ ィ ール ド のエ ラ ー チ ェ ッ ク
イ ネーブルにな っ ている場合
長 さ / タ イ プ フ ィ ール ド のエ ラ ー チ ェ ッ ク はデフ ォ ル ト で イ ネーブルにな っ てい ます ( 「10G Ethernet MAC コ ン フ ィ
ギ ュ レーシ ョ ン レ ジ ス タ 」 を参照)。 こ のモー ド では、 VLAN タ グ フ レーム以外の受信フ レームに対 し 、 次のチ ェ ッ
ク が実行 さ れます。 こ れ ら のチ ェ ッ ク のいずれかがエ ラ ーにな る と 、 フ レームは不正 と みな さ れ ます。 フ レームが制
御フ レーム ま たは VLAN タ グの付いてい る も のであ る 場合は、 こ のチ ェ ッ ク は実行 さ れません。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
100
第 3 章 : コ ア を使用するデザイ ン
•
長 さ / タ イ プ フ ィ ール ド の値が、 10 進数で 46、 1,536 未満であ る 場合、 その値は実際に受信 さ れたデー タ の長 さ
と 比較 さ れます。
•
長 さ / タ イ プ フ ィ ール ド の値が、 10 進数で 46 未満 (長 さ 処理) の場合、 フ レーム デー タ の長 さ が、 正確に 46 バ イ
ト (つ ま り 合計フ レ ーム長 さ が 64 バ イ ト にな る ) にな る ま でパ ッ ド が追加 さ れてい る か ど う か を確認す る ため
に、 チ ェ ッ ク さ れます。
さ ら に、 パ ッ ド が追加 さ れてい る こ と が確認で き (長 さ / タ イ プ フ ィ ール ド が 10 進数で 46 未満)、 ク ラ イ ア ン ト か ら
FCS が渡 さ れ る 機能がデ ィ ス エーブルにな っ てい る 場合、 フ レームか ら パ ッ ド バ イ ト が削除 さ れ る よ う に、指定デー
タ バ イ ト 数の後 m_axis_rx_tkeep[] をデ ィ アサー ト す る ために長 さ / タ イ プ フ ィ ール ド が使用 さ れ ます。 詳細は、
「 イ ンバン ド FCS を渡す受信」 を参照 し て く だ さ い。
デ ィ ス エーブルの場合
長 さ / タ イ プ フ ィ ール ド のエ ラ ー チ ェ ッ ク がデ ィ ス エーブルにな っ ていて、 その フ ィ ール ド に長 さ 処理が さ れてい る
場合、 前に説明 し た よ う に、 その長 さ の値は実際に受信 し たデー タ の長 さ と 比較 さ れません。 こ のエ ラ ーのみが含ま
れてい る フ レームは有効 と みな さ れます。 し か し 、 長 さ / タ イ プ フ ィ ール ド が 10 進数で 46 未満だ と 、 フ レーム サ イ
ズが最小値の 64 バ イ ト でない場合、 不正な フ レームだ と みな さ れます。
パ ッ ド が追加 さ れてい る こ と が確認で き 、 ク ラ イ ア ン ト か ら FCS を渡す機能がデ ィ ス エーブルにな っ てい る 場合は、
長 さ / タ イ プ フ ィ ール ド の長 さ の値は、 m_axis_rx_tkeep] のデ ィ ア サー ト には使用 さ れ ま せん。 代わ り に、 FCS
フ ィ ール ド の開始前に、 m_axis_rx_tkeep] がデ ィ アサー ト さ れ、 フ レームか ら パ ッ ド は ま っ た く 削除 さ れません。
IEEE 1588 タ イ ムス タ ン プ
IEEE 1588 は、 ネ ッ ト ワ ー ク 上で タ イ ミ ン グ同期化を実行する ためのプ ロ ト コ ルを定義 し てい ます。 1588 ネ ッ ト ワー
ク には通常、 ベス ト なマ ス タ ー ク ロ ッ ク アルゴ リ ズ ムに よ っ て選択 さ れた、 マ ス タ ー ク ロ ッ ク タ イ ミ ン グ基準が 1
つあ り ます。 定期的に、 こ のマ ス タ ーはそのシ ス テ ム タ イ マー基準カ ウ ン タ ーをサンプル し 、 定義 さ れてい る パケ ッ
ト フ ォーマ ッ ト を使用 し て、 ネ ッ ト ワー ク 上に こ のサンプル さ れた タ イ ム値を送信 し ます。 1588 タ イ ミ ン グ パケ ッ
ト の開始が送信 さ れ る と き に、 こ の タ イ マーを サ ン プルす る 必要が あ り ま す ( タ イ ム ス タ ン プ)。 こ のため、 ネ ッ ト
ワー ク 上で非常に正確な同期化を行 う には、 正確な タ イ ム ス タ ンプが必要にな り ます。 こ のサンプル さ れた タ イ マー
値、 つま り タ イ ム ス タ ンプが、 タ イ ム ス タ ンプ を ト リ ガー し たパケ ッ ト に配置 さ れ る こ と を 1 ス テ ッ プ操作 と 呼びま
す。 ま た、 タ イ ム ス タ ンプ値を フ ォ ロ ーア ッ プのパケ ッ ト に配置す る こ と も で き ます。 こ れは 2 ス テ ッ プ操作 と 呼ば
れます。
ネ ッ ト ワー ク 上のほかの タ イ ミ ン グ ス レーブ デバ イ スは、 ネ ッ ト ワー ク の タ イ ミ ン グ マ ス タ ーか ら こ れ ら の タ イ ミ
ン グ基準パケ ッ ト を受信 し 、 それに ロ ーカル タ イ マーの基準を同期化 さ せ よ う と し ま す。 こ の方法は イ ーサネ ッ ト
ポー ト に依存 し てお り 、 こ の イ ーサネ ッ ト ポー ト も 、 1588 タ イ ミ ン グ パケ ッ ト の開始を受信す る と き 、 その ロ ーカ
ル タ イ マーのサンプルであ る タ イ ム ス タ ンプ を取 り 込みます。
1588 操作の詳 し い説明は本書では割愛 し ます。 こ こ か ら は、 こ の コ アの 1588 ハー ド ウ ェ ア タ イ ム ス タ ンプのサポー
ト 機能について説明 し ます。
コ アに提供 さ れてい る 1588 タ イ マー、 お よ びそ こ か ら 間接的に得 ら れ る タ イ ム ス タ ン プは、 IP コ ア生成時に選択す
る フ ォーマ ッ ト で使用で き ます。
•
ToD (Time-of-Day) フ ォーマ ッ ト :IEEE 1588-2012 フ ォーマ ッ ト で、符号な し の 48 ビ ッ ト 秒フ ィ ール ド と 、32 ビ ッ
ト のナ ノ 秒フ ィ ール ド か ら 構成 さ れてい ます。
•
訂正フ ィ ール ド フ ォーマ ッ ト :IEEE 1588-2012 の数字フ ォーマ ッ ト で、 216 の倍数のナ ノ 秒を表す符号付き の 64
ビ ッ ト フ ィ ール ド か ら 構成 さ れてい ます (IEEE 1588 の 13.3.2.7 節を参照)。 こ の タ イ マーは 0 か ら 最大 264-1 ま
でカ ウ ン ト し てか ら ラ ッ プ し ます。
送信
図 3-23 は、 AXI 10 Gigabit Ethernet コ アの送信部を示 し てい ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
101
第 3 章 : コ ア を使用するデザイ ン
X-Ref Target - Figure 3-23
7DJDQG7LPHVWDPS
$GMXVWIRU/DWHQF\
3&6
/RJLF
3,62
'DWDDQGXSGDWHG
WLPHVWDPS
7UDQVFHLYHU7;
),)2
'DWDDQG&RPPDQGILHOG
3&630$7;
*HDUER[
*0$&7;
7;
7LPHVWDPS
6\VWHP7LPHU
;
図 3‐23 : 送信部のアーキテ ク チ ャ
送信の場合、 コ マ ン ド フ ィ ール ド が ク ラ イ ア ン ト か ら コ アに提供 さ れますが、 こ れは伝送用に送信 さ れた フ レーム と
一致 し てい る か、 ま たはその フ レーム と 平行 し てア ウ ト オブバン ド で提供 さ れます。 こ れは、 フ レーム ご と に実行す
る 1588 フ ァ ン ク シ ョ ン を示 し てお り (操作な し 、 1 ス テ ッ プ、 ま たは 2 ス テ ッ プ)、 ま た、 1 ス テ ッ プの フ レームの場
合は、 ア ッ プデー ト すべ き UDP チ ェ ッ ク サム フ ィ ール ド があ る か ど う か を示 し ます。
ToD フ ォーマ ッ ト を使用 し てい る 場合、 1 ス テ ッ プお よ び 2 ス テ ッ プの両方の操作に対 し て、 表 2-35 で定義 さ れてい
る 追加ポー ト を使用 し て、 完全に取 り 込まれた 80 ビ ッ ト の ToD タ イ ム ス タ ンプが ク ラ イ ア ン ト ロ ジ ッ ク に戻 さ れま
す。 訂正フ ィ ール ド フ ォーマ ッ ト を使用 し てい る 場合、 1 ス テ ッ プお よ び 2 ス テ ッ プの両方の操作に対 し て、 表 2-35
で定義 さ れてい る 追加ポー ト を使用 し て、 完全に取 り 込 ま れた 64 ビ ッ ト の ToD タ イ ム ス タ ン プが ク ラ イ ア ン ト ロ
ジ ッ ク に戻 さ れます。
ToD フ ォーマ ッ ト を使用 し てい る 場合、 1 ス テ ッ プの操作に対 し 、 完全に取 り 込ま れた 80 ビ ッ ト の ToD タ イ ム ス タ
ン プが フ レ ーム に挿入 さ れ ま す。 訂正フ ィ ール ド フ ォ ーマ ッ ト を使用 し てい る 場合、 1 ス テ ッ プの操作に対 し 、 フ
レーム内に含ま れてい る 既存訂正フ ィ ール ド と 、 取 り 込ま れた 64 ビ ッ ト の タ イ ム ス タ ンプが足 さ れ、 その合計が フ
レームの元の訂正フ ィ ール ド に上書 き さ れます。 1 ス テ ッ プの タ イ ム ス タ ンプでサポー ト さ れてい る フ レーム タ イ プ
は次の と お り です。
•
Raw イ ーサネ ッ ト
•
UDP/IPv4
•
UDP/IPv6
1 ス テ ッ プの UDP フ レーム タ イ プの場合、 IETF RFC 1624 に従っ て UDP チ ェ ッ ク サムがア ッ プデー ト さ れます。
1 ス テ ッ プの フ レームすべてに対 し 、 フ レームの変更がすべて終了 し た後に、 イ ーサネ ッ ト フ レーム チ ェ ッ ク シー
ケ ン ス (FCS) フ ィ ール ド が計算 さ れます。
2 ス テ ッ プの送信操作の場合は、 PTP (Precision Time Protocol) フ レーム タ イ プがすべてサポー ト さ れてい ます。
フ レームご と の タ イ ムス タ ン プ操作
MAC に送信 さ れ る イ ーサネ ッ ト フ レームには コ マ ン ド フ ィ ール ド が含まれてい ます。 こ の フ ィ ール ド の フ ォーマ ッ
ト は次の リ ス ト で定義 さ れてい ます。 フ ィ ール ド には、 フ レーム ご と に次の情報が含まれてい ます。
•
操作な し (No Operataion) : フ レームは PTP フ レーム ではな く 、 ま た タ イ ム ス タ ンプ操作を実行す る 必要はあ り ま
せん。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
102
第 3 章 : コ ア を使用するデザイ ン
•
2 ス テ ッ プ操作が必要で、 タ グ値 (ユーザー シーケ ン ス ID) が コ マ ン ド フ ィ ール ド の一部 と し て提供 さ れます。
フ レーム を タ イ ム ス タ ンプす る 必要があ り 、 ク ラ イ ア ン ト にその タ イ ム ス タ ンプお よ びフ レームの タ グ値が提供
さ れます。 追加 MAC ト ラ ン ス ミ ッ タ ー ポー ト (表 3-8 で定義) が こ の機能を提供 し ます。
•
1 ス テ ッ プ操作が必要にな り ます。
°
°
ToD タ イ マーお よ び タ イ ム ス タ ンプ フ ォーマ ッ ト の場合は、 タ イ ム ス タ ンプ オ フ セ ッ ト 値が コ マ ン ド
フ ィ ール ド の一部 と し て提供 さ れます。 フ レーム を タ イ ム ス タ ンプする 必要があ り 、 その タ イ ム ス タ ンプは
指定オ フ セ ッ ト (バ イ ト 数) で フ レームに挿入 さ れます。
訂正フ ィ ール ド フ ォーマ ッ ト の場合は、 訂正フ ィ ール ド オ フ セ ッ ト 値が コ マ ン ド フ ィ ール ド の一部 と し て
提供 さ れ ます。 フ レーム を タ イ ム ス タ ン プす る 必要があ り ます。 ま た、 取 り 込ま れた 64 ビ ッ ト の タ イ ム ス
タ ンプは、 フ レーム内に含まれ る 既存の訂正フ ィ ール ド に足 さ れて、 その足 さ れた結果が フ レームの元の訂
正フ ィ ール ド に上書 き さ れます。
1 ス テ ッ プ操作の場合は さ ら に、 フ レーム変更の後に、 フ レームの CRC 値を ア ッ プデー ト /再計算す る 必要があ
り ます。 UDP IPv4 お よ び IPv6 PTP で フ ォーマ ッ ト さ れてい る フ レームの場合は、 フ レームのヘ ッ ダーのチ ェ ッ
ク サム値を ア ッ プデー ト /再計算す る 必要があ り ます。
•
1 ス テ ッ プの UDP フ レーム タ イ プの場合、IETF RFC 1624 に従っ て UDP チ ェ ッ ク サムがア ッ プデー ト さ れます。
°
°
ToD フ ォーマ ッ ト を使用 し てい る 場合、 こ のア ッ プデー ト を正 し く 機能 さ せる には、 伝送用に送信 さ れた フ
レームの元のチ ェ ッ ク サム値を、 タ イ ム ス タ ンプ デー タ のゼ ロ 値を使用 し て計算する 必要があ り ます。 こ れ
は訂正フ ィ ール ド フ ォーマ ッ ト を使用 し てい る 場合は関係あ り ません。
訂正フ ィ ール ド フ ォーマ ッ ト を使用 し てい る 場合は、別のルールが適用 さ れます。UDP チ ェ ッ ク サム フ ィ ー
ル ド と 、 1588 PTP フ レーム ヘ ッ ダー内の訂正フ ィ ール ド の間隔は、 バ イ ト 数で表 さ れ る 固定値で、 1588 PTP
フ レ ー ム 定義 を サ ポー ト し ま す。 チ ェ ッ ク サ ム を 正 し く ア ッ プデー ト す る には、 MAC パ イ プ ラ イ ン に、
チ ェ ッ ク サ ム と 訂正フ ィ ール ド の両方が完全に含ま れてい る 必要があ る ため、 こ れは、 MAC の レ イ テ ン シ
を最小限に抑え る ための要件です。こ のルールは、ToD フ ォーマ ッ ト を使用 し てい る 場合は関係あ り ません。
オ リ ジナルの タ イ ム ス タ ンプ デー タ はゼ ロ 値 と し て計算 さ れ る ので、チ ェ ッ ク サムお よ び タ イ ム ス タ ンプの
位置はフ レーム内で独立 さ せてお く こ と が可能だか ら です。
表 3-7 は コ マ ン ド フ ィ ール ド を定義 し てい ます。
表 3‐7 : イ ーサネ ッ ト フ レームの コ マ ン ド フ ィ ール ド の説明
ビッ ト
名前
説明
[1:0]
1588
操作
2'b00 – 「NOP (No Operation)」。タ イ ム ス タ ンプの取得 も フ レームの変更 も 行われません。
2'b01 – 「1 ス テ ッ プ」。タ イ ム ス タ ンプ を取得 し 、フ レームはそれに応 じ て変更 さ れます。
2'b10 – 「2 ス テ ッ プ」。 タ イ ム ス タ ンプを取得 し 、 表 2-35 の追加ポー ト を介 し て ク ラ イ
ア ン ト へ返 し ます。 フ レーム自体は変更 さ れません。
2'b11 – 予約済み。 NOP と し て動作 し ます。
[7:2]
予約済み
今後の使用のために予約 さ れてい ます。 コ アは値を無視 し ます。
ア ッ プデー ト
チ ェ ッ ク サム
こ の フ ィ ール ド の使用方法は、 1588 の操作に よ っ て異な り ます。
「NOP」 ま たは 「2 ス テ ッ プ」 の場合、 こ のビ ッ ト は無視 さ れます。
「1 ス テ ッ プ」 の場合 :
1'b0 : PTP フ レームには UDP チ ェ ッ ク サムが含まれません。
1'b1 : PTP フ レームに UDP チ ェ ッ ク サムが含ま れ、コ アがチ ェ ッ ク サム を再計算す る 必
要があ り ます。
予約済み
今後の使用のために予約 さ れてい ます。 コ アは値を無視 し ます。
タグ
フ ィ ール ド
こ の フ ィ ール ド の使用法は、 1588 の操作に よ っ て異な り ます。
「NOP」 ま たは 「1 ス テ ッ プ」 の場合、 こ の フ ィ ール ド は無視 さ れます。
「1 ス テ ッ プ」 お よ び 「2 ス テ ッ プ」 の場合、 こ の フ ィ ール ド は タ グ フ ィ ール ド です。 こ
の タ グの値は、 現在の フ レームの タ イ ム ス タ ンプ と 一緒に、 表 2-35 の追加ポー ト を介
し て ク ラ イ ア ン ト へ返 さ れます。 ソ フ ト ウ ェ アで こ の タ グの値を使用 し て、伝送用に送
信 し た 2 ス テ ッ プ レーム と タ イ ム ス タ ンプ を一致 さ せ る こ と がで き ます。
[8]
[15:9]
[31:16]
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
103
第 3 章 : コ ア を使用するデザイ ン
表 3‐7 : イ ーサネ ッ ト フ レームの コ マ ン ド フ ィ ール ド の説明 (続き)
ビッ ト
[47:32]
名前
タイム
ス タ ンプ
ま たは訂正
フ ィ ール ド オ
フセ ッ ト
説明
こ の フ ィ ール ド の使用法は、 1588 の動作に よ っ て異な り ます。
「NOP」 ま たは 「2 ス テ ッ プ」 の場合、 こ の フ ィ ール ド は無視 さ れます。
1 ス テ ッ プの ToD フ ォーマ ッ ト の場合、こ の フ ィ ール ド の値はフ レームの何バ イ ト 目に
タ イ ム ス タ ンプ フ ィ ール ド の最初のバ イ ト を挿入する かを示 し ます (例 : 値が 0 な ら デ
ス テ ィ ネーシ ョ ン ア ド レ ス の最初のバ イ ト )。
1 ス テ ッ プの訂正フ ォーマ ッ ト の場合、 こ の フ ィ ール ド の値はフ レームの何バ イ ト 目で
訂正フ ィ ール ド の最初のバ イ ト を変更す る か を示 し ます (例 : 値が 0 な ら デス テ ィ ネー
シ ョ ン ア ド レ ス の最初のバ イ ト )。 偶数のオ フ セ ッ ト 値のみが現在はサポー ト さ れてい
ます。
注記 : IPv6 のヘ ッ ダー サ イ ズには制限がないため、 こ の フ ィ ール ド は 16K ジ ャ ン ボ フ
レーム ま でのすべての フ レーム サ イ ズに対応で き ます。
[63:48]
チ ェ ッ ク サム
オフセ ッ ト
こ の フ ィ ール ド の使用法は、 1588 操作お よ びア ッ プデー ト チ ェ ッ ク サム ビ ッ ト に よ り
変わ り ます。
訂正フ ィ ール ド フ ォーマ ッ ト を使用 し てい る 場合、チ ェ ッ ク サムの位置は、訂正フ ィ ー
ル ド オ フ セ ッ ト 位置の前の固定数のバ イ ト にな る ので、 こ の フ ィ ール ド は ま っ た く 無
視 さ れます (IEEE 1588 PTP フ レーム フ ォーマ ッ ト を完全サポー ト )。
ToD フ ォーマ ッ ト を使用 し てい る 場合 :
• 「NOP」、 「2 ス テ ッ プ」、 ま たはア ッ プデー ト チ ェ ッ ク サムが 1'b0 で 「1 ス テ ッ プ」 の
場合、 こ のフ ィ ール ド は無視 さ れます。
• ア ッ プデー ト チ ェ ッ ク サムが 1'b1 で 「1 ス テ ッ プ」 の場合、 こ の フ ィ ール ド の値は
フ レ ーム の何バ イ ト 目か ら チ ェ ッ ク サ ム の最初のバ イ ト が開始す る か を示 し ま す (
例 :値が 0 な ら デス テ ィ ネーシ ョ ン ア ド レ ス の最初のバ イ ト )。 偶数のオ フ セ ッ ト 値
のみが現在はサポー ト さ れてい ます。
注記 : IPv6 のヘ ッ ダー サ イ ズには制限がないため、 こ の フ ィ ール ド は 16K ジ ャ ン ボ フ
レーム ま でのすべての フ レーム サ イ ズに対応で き ます。
ト ラ ン ス ミ ッ タ ー レ イ テ ン シおよび タ イ ムス タ ン プ調整
図 3-23 はレ イ テ ン シ調整 (Adjust for Latency) お よ び タ イ ム ス タ ンプ (Timestamp) のブ ロ ッ ク を示 し てい ます。 イ ーサ
ネ ッ ト 開始 コ ー ド グループ (/S/) が ト ラ ン ス ミ ッ タ ー パ イ プ ラ イ ンにあ ら われ る と 、 シ ス テ ム タ イ マーがサンプル さ
れます。 こ れは、 1 ス テ ッ プ操作のための フ レーム を変更 し 、 UDP チ ェ ッ ク サムお よ び FCS フ ィ ール ド を ア ッ プデー
ト す る ために必要です。 こ の タ イ ム ス タ ンプが信頼性の高いシ ス テ ム動作を提供する ためには、 次の コ ンデ ィ シ ョ ン
が必要です。
•
10 Gigabit Ethernet MAC ブ ロ ッ ク に、 パ イ プ ラ イ ン を介 し て タ イ ム ス タ ンプ位置以降の固定レ イ テ ン シが含まれ
てい る 。
•
10 Gigabit Ethernet PCS/PMA ブ ロ ッ ク が、 ト ラ ン ス ミ ッ タ ー パ ス の決定的レ イ テ ン シ を提供す る 。
•
7 シ リ ーズの GTX ま たは GTH ト ラ ン シーバーが、 ト ラ ン ス ミ ッ タ ー パ ス の決定的レ イ テ ン シ を提供す る 。 こ れ
は、 TX バ ッ フ ァ ー バ イ パス モー ド で GTX ま たは GTH ト ラ ン シーバーを使用 し て達成で き ます。
こ の後、 ロ ジ ッ ク も 、 コ ン フ ィ ギ ュ レーシ ョ ン可能な時間を追加す る こ と に よ り 、 タ イ ム ス タ ンプ値を調整す る こ と
がで き る よ う にな り ます。 こ の値はユーザー調整可能で、 ト ラ ン ス ミ ッ タ ー パ ス 全体 (10 Gigabit Ethernet MAC、 10
Gigabit Ethernet PCS/PMA、 ト ラ ン シーバー ブ ロ ッ ク ま で) の レ イ テ ン シで初期化 さ れます。 こ れで、 ト ラ ン シーバー
シ リ アル送信出力で開始 コ ー ド グループが最初にあ ら われ る 時間を表す タ イ ム ス タ ンプ デフ ォ ル ト 値が返 さ れます。
こ の レ イ テ ン シ調整機能は、 ToD フ ォーマ ッ ト に も 訂正フ ィ ール ド フ ォーマ ッ ト に も 適用す る こ と がで き ます。
送信 – イ ンバン ド で コ マ ン ド フ ィ ール ド を使用
送信方向の タ イ ム ス タ ンプの場合、 オプシ ョ ン で、 既存の AXI4-Stream デー タ イ ン タ ーフ ェ イ ス での伝送用に送信 さ
れた フ レーム を イ ンバン ド で提供す る こ と がで き ます。 こ のモー ド は、AXI4-Lite のア ド レ ス指定可能な コ ン フ ィ ギ ュ
レーシ ョ ン ビ ッ ト を使用 し て イ ネーブルにする こ と がで き ます。 詳細は 表 2-23、 ビ ッ ト 22 を参照 し て く だ さ い。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
104
第 3 章 : コ ア を使用するデザイ ン
イ ネーブルに な っ て い る 場合、 64 ビ ッ ト の コ マ ン ド フ ィ ール ド が、 フ レ ー ム 開始の直前に、 コ ア に渡 さ れ ま す (
図 3-24)。
X-Ref Target - Figure 3-24
FONBRXW
VBD[LVBW[BWYDOLG
VBD[LVBW[BWUHDG\
VBD[LVBW[BWGDWD
&RPPDQG
VWGDWD
VBD[LVBW[BWODVW
図 3‐24 : イ ンバン ド コ マ ン ド フ ィ ール ド
イ ンバン ド コ マ ン ド フ ィ ール ド と カ ス タ ムプ リ ア ンブルを同時に渡す こ と はで き ません。 両方が イ ネーブルにな っ
てい る 場合は、 カ ス タ ム プ リ ア ンブルが優先 さ れます。
送信 – アウ ド オブバン ド で コ マ ン ド フ ィ ール ド を使用
イ ン バ ン ド コ マ ン ド フ ィ ー ル ド が 使用 さ れ て い な い 場合 ( ビ ッ ト 22 が 0 に 設定 さ れ て い る な ど)、 コ ア の
s_axis_tx_tuser ポー ト のサブ フ ィ ール ド を使用 し て、 コ マ ン ド フ ィ ール ド を ア ウ ト オブバン ド で提供する こ と がで き
ます。 s_axis_tx_tuser 信号内のサブ フ ィ ール ド は表 3-8 に説明 さ れてい ます。
表 3‐8 : ポー ト の定義
ビッ ト
名前
説明
s_axis_tx_tuser [0]
ア ン ダー ラ ン
明示的 な ア ン ダ ー ラ ン を 示す た め に 使用 さ れ る AXI4-Stream ユ ー
ザー信号
s_axis_tx_tuser[63:1]
予約済み
今後のために予約 (すべての ビ ッ ト は無視 さ れます)
s_axis_tx_tuser[127:64]
コ マ ン ド
フ ィ ール ド
表 3-7 の コ マ ン ド フ ィ ール ド の定義に従っ て 64 ビ ッ ト のフ ィ ール ド
です。
図 3-25 はア ウ ト オブバン ド フ ィ ール ド の タ イ ミ ン グ図です。 s_axis_tx_tuser の コ マ ン ド サブ フ ィ ール ド は、 最初の
デー タ ワ ー ド が伝送用に送信 さ れ る と き 、 同 じ ク ロ ッ ク サ イ ク ルで有効にな っ てい る 必要があ り ます。
X-Ref Target - Figure 3-25
FONBRXW
VBD[LVBW[BWYDOLG
VBD[LVBW[BWUHDG\
VBD[LVBW[BWGDWD
VWGDWDZRUG
VBD[LVBW[BWODVW
VBD[LVBW[BWXVHU>@
&RPPDQG
図 3‐25 : アウ ト オブバン ド コ マ ン ド フ ィ ール ド
受信
図 3-26 は、 AXI 10 Gigabit Ethernet コ アの受信部を示 し てい ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
105
第 3 章 : コ ア を使用するデザイ ン
X-Ref Target - Figure 3-26
6\VWHP7LPHU
*0$&5;
3&630$5;
6,32
),)2
3&6
/RJLF
7UDQVFHLYHU5;
*HDUER[
7LPHVWDPS
),)2
$GMXVWIRU/DWHQF\
5;
;
図 3‐26 : 受信部のアーキテ ク チ ャ
受信側では、 取 り 込まれた 80 ビ ッ ト の ToD タ イ ム ス タ ンプですべての フ レームが タ イ ム ス タ ンプ さ れます。 フル 80
ビ ッ ト の タ イ ム ス タ ン プは、 表 2-36 で定義 さ れてい る 追加ポー ト を使用 し て、 ア ウ ト オブバン ド で ク ラ イ ア ン ト ロ
ジ ッ ク に提供 さ れ ます。 さ ら に、 オプシ ョ ン で 64 ビ ッ ト の タ イ ム ス タ ンプは、 受信フ レーム に合わせて提供す る こ
と がで き ます。 こ の 64 ビ ッ ト の タ イ ム ス タ ンプは、 1588 タ イ マーの秒フ ィ ール ド の下位 32 ビ ッ ト と 、 ナ ノ 秒フ ィ ー
ル ド の全 32 ビ ッ ト か ら 構成 さ れてい ます。 訂正フ ィ ール ド フ ォーマ ッ ト の場合は、 フル 64 ビ ッ ト の タ イ ム ス タ ンプ
は、 表 2-36 で定義 さ れてい る 追加ポー ト を使用 し て、 ア ウ ト オブバン ド で ク ラ イ ア ン ト ロ ジ ッ ク に提供 さ れ ま す。
さ ら に、 オプシ ョ ンで 64 ビ ッ ト の タ イ ム ス タ ンプは、 受信フ レームに合わせて提供す る こ と がで き ます。
レ シーバー レ イ テ ン シおよび タ イ ムス タ ン プ調整
図 3-26 は、 タ イ ム ス タ ン プお よ びレ イ テ ン シ調整ブ ロ ッ ク を示 し てい ます。 こ れは、 開始 コ ー ド グループがあ ら わ
れ る と き の、 レ シーバー パ イ プ ラ イ ンにおけ る タ イ ム ス タ ンプ ポ イ ン ト を示 し てい ます。 こ の タ イ ム ポ イ ン ト は、長
さ が可変の レ イ テ ン シ ロ ジ ッ ク の前、 10 Gigabit Ethernet PCS/PMA で実行 さ れます。
•
7 シ リ ーズの GTX ま たは GTH ト ラ ン シーバーが、 レ シーバー パ ス の決定的レ イ テ ン シ を提供す る 。 こ れは、RX
バ ッ フ ァ ー バ イ パ ス モー ド で GTX ま たは GTH ト ラ ン シーバーを使用 し て達成で き ます。
•
10 Gigabit Ethernet PCS/PMA ブ ロ ッ ク は、 タ イ ム ス タ ンプ ポ イ ン ト ま での レ シーバー パ ス の固定レ イ テ ン シ を提
供す る 。
こ の後、 ロ ジ ッ ク も 、 コ ン フ ィ ギ ュ レーシ ョ ン可能な時間を差 し 引 く こ と に よ り 、 タ イ ム ス タ ンプ値を調整す る こ と
がで き る よ う にな り ます。 こ の値は調整可能です。 ま た、 タ イ ム ス タ ン プ位置の前で、 レ シーバー パ ス レ イ テ ン シ
( ト ラ ン シーバー、 お よ び 10 Gigabit Ethernet PCS/PMA ブ ロ ッ ク ) を使用 し て初期化す る 必要があ り ます。 こ れで、 ト
ラ ン シーバー シ リ アル入力に開始 コ ー ド グループがあ ら われ る 時間を表す タ イ ム ス タ ンプ デフ ォ ル ト 値が返 さ れま
す。 こ の レ イ テ ン シ調整機能は、 ToD フ ォーマ ッ ト に も 訂正フ ィ ール ド フ ォーマ ッ ト に も 適用す る こ と がで き ます。
受信 – フ レーム受信に合わせた タ イ ムス タ ン プ
取 り 込まれた タ イ ム ス タ ンプは、 オプシ ョ ンで、 受信 AXI4-Stream イ ン タ ーフ ェ イ ス を使用 し て受信 さ れた フ レーム
に合わせて提供す る こ と がで き ます。 こ のモー ド は、 AXI4-Lite のア ド レ ス指定可能な コ ン フ ィ ギ ュ レーシ ョ ン ビ ッ
ト を使用 し て イ ネーブルにす る こ と がで き ます。 詳細は 表 2-24、 ビ ッ ト 22 を参照 し て く だ さ い。 イ ネーブルにな っ
てい る 場合は、 フ レーム受信開始の直前に、 64 ビ ッ ト の タ イ ム ス タ ンプ フ ィ ール ド が ク ラ イ ア ン ト に渡 さ れます (プ
リ ア ンブル フ ィ ール ド の位置)。 こ れは図 3-27 で説明 さ れてい ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
106
第 3 章 : コ ア を使用するデザイ ン
X-Ref Target - Figure 3-27
FONBRXW
PBD[LVBU[BWYDOLG
PBD[LVBU[BWGDWD>@
76
'$
6$
'
'
'
'
'
'
PBD[LVBU[BWGDWD>@
76
'$
6$
'
'
'
'
'
'
PBD[LVBU[BWGDWD>@
76
'$
6$
'
'
'
'
'
'
PBD[LVBU[BWGDWD>@
76
'$
6$
'
'
'
'
'
'
PBD[LVBU[BWGDWD>@
76
'$
/7
'
'
'
'
'
'
PBD[LVBU[BWGDWD>@
76
'$
/7
'
'
'
'
'
'
PBD[LVBU[BWGDWD>@
76
'$
'
'
'
'
'
'
'
PBD[LVBU[BWGDWD>@
76
'$
'
'
'
'
'
'
'
PBD[LVBU[BWNHHS>@
[
[))
[))
PBD[LVBU[BWODVW
PBD[LVBU[BWXVHU
図 3‐27 : 受信 タ イ ムス タ ン プの タ イ ミ ング図
ToD フ ォ ーマ ッ ト の場合、 こ の 64 ビ ッ ト は、 m_axis_rx_tdata の ビ ッ ト [31:0] の タ イ ム ス タ ン プのナ ノ 秒フ ィ ール ド
と 、 m_axis_rx_tdata のビ ッ ト [63:32] の タ イ ム ス タ ンプの秒フ ィ ール ド の下位 32 ビ ッ ト か ら 構成 さ れてい ます。 訂正
フ ィ ール ド フ ォーマ ッ ト の場合、 タ イ ム ス タ ンプは完全に含まれてい ます。
イ ンバン ド コ マ ン ド フ ィ ール ド お よ びカ ス タ ム プ リ ア ンブルを同時に渡す こ と はで き ません。 両方が イ ネーブルに
な っ てい る 場合は、 カ ス タ ム プ リ ア ンブルが優先 さ れます。
図 3-27 に、 こ の イ ン タ ーフ ェ イ ス操作のブ ロ ッ ク 図を示 し ます。 つま り 、 タ イ ム ス タ ンプは、 フ レーム デー タ の最
初のデー タ ビー ト と 同 じ ク ロ ッ ク サ イ ク ルで有効です。 図 3-27 は、 こ の タ イ プの タ イ ム ス タ ン プが イ ネーブルに
な っ ていて、 タ イ ム ス タ ンプが フ レームの最初のデー タ ビー ト にな っ てい る ケース を説明 し てい ます。 こ の タ イ プの
タ イ ム ス タ ン プが イ ネーブルにな っ ていない場合は、 フ レームの最初のデー タ ビー ト はア ド レ ス フ ィ ール ド にな り
ます。
管理イ ン タ ー フ ェ イ スの接続
管理 イ ン タ ーフ ェ イ ス は AXI4-Lite イ ン タ ーフ ェ イ ス です。 こ の イ ン タ ーフ ェ イ ス は次の目的で使用 さ れます。
•
コ アの コ ン フ ィ ギ ュ レーシ ョ ン
•
割 り 込みの コ ン フ ィ ギ ュ レーシ ョ ン
•
SNMP な ど上位層で使用す る 統計情報にア ク セ スする ため
•
コ アに接続 し てい る PHY にあ る 管理レ ジ ス タ へ MDIO イ ン タ ーフ ェ イ ス を介 し てア ク セ スす る ため
管理 イ ン タ ーフ ェ イ ス のポー ト は 「AXI4-Lite 管理 イ ン タ ーフ ェ イ ス ポー ト 」 に ま と め ら れてい ます。IP イ ン テ グ レー
タ ーで こ の イ ン タ ーフ ェ イ ス を接続す る と き 、 表 2-21 の信号は表示 さ れ (s_axi_aclk を除 く )、 シ ン グル バ ス と し
て接続す る こ と がで き ます。 こ のバ ス は s_axi と 呼ばれます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
107
第 3 章 : コ ア を使用するデザイ ン
X-Ref Target - Figure 3-28
VBD[LBDFON
VBD[LBDUHVHWQ
VBD[LBDZGDGGU
$''5(66
VBD[LBDZYDOLG
VBD[LBDZUHDG\
VBD[LBZGDWD
'$7$
VBD[LBZYDOLG
VBD[LBZUHDG\
VBD[LBEUHVS
2.$<
VBD[LBEYDOLG
VBD[LBEUHDG\
6HQGLQJ$GGUHVV
:ULWLQJ'DWD
5HFHLYLQJ5HVSRQVH
図 3‐28 : 管理レ ジ ス タ の書き込みの タ イ ミ ング
X-Ref Target - Figure 3-29
VBD[LBDFON
VBD[LBDUHVHWQ
VBD[LBDUGDGGU
$''5(66
VBD[LBDUYDOLG
VBD[LBDUUHDG\
VBD[LBUGDWD
'$7$
VBD[LBUYDOLG
VBD[LBUUHDG\
VBD[LBUUHVS
6HQGLQJ$GGUHVV
5HFHLYLQJ5HVSRQVH
図 3‐29 : 管理レ ジ ス タ の読み出 し の タ イ ミ ング MDIO を介 し て PHY レ ジ ス タ にア ク セスする ための AXI4‐Lite イ ン タ ー フ ェ イ スの
使用
コ ア の MDIO ポ ー ト に ア ク セ ス す る た め、 ま た FPGA の外部のデバ イ ス の PHY レ ジ ス タ に ア ク セ ス す た め に、
AXI4-Lite イ ン タ ーフ ェ イ ス は使用 さ れます。 MDIO イ ン タ ーフ ェ イ ス は比較的低速の 2 ワ イ ヤ イ ン タ ーフ ェ イ ス で
あ る ため、 MDIO ア ク セ ス を完了す る には多 く の AXI4-Lite サ イ ク ルを必要 と す る こ と があ り ます。
MDIO ア ク セ ス が始 ま る 前に、 有効な ク ロ ッ ク 分周値 と 、 MDIO イ ネーブル ビ ッ ト を設定 し た状態で、 MDIO コ ン
フ ィ ギ ュ レーシ ョ ン ワ ー ド 0 レ ジ ス タ を書き 込む必要があ り ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
108
第 3 章 : コ ア を使用するデザイ ン
PHY レ ジ ス タ ア ク セ ス の タ ーゲ ッ ト は、 MDIO コ ン フ ィ ギ ュ レーシ ョ ン ワー ド 1 レ ジ ス タ の PRTAD お よ び DEVAD
フ ィ ール ド の値に よ っ て設定 さ れます。 各ポー ト にはそのポー ト の PHY で設定 さ れてい る 5 ビ ッ ト ポー ト ア ド レ ス
が必要です (内部ま たは外部)。
PHY レ ジ ス タ に書 き 込むには、 まずレ ジ ス タ ア ド レ ス を設定す る 必要があ り 、 その後に、 そのア ド レ ス か ら 値を書
き 込むための ト ラ ンザ ク シ ョ ン を実行 し ます。 こ れには まず、 MDIO コ ン フ ィ ギ ュ レーシ ョ ン ワー ド 1 で タ ーゲ ッ ト
ポー ト お よ びデバ イ ス のア ド レ ス を設定 し 、 MDIO TX デー タ レ ジ ス タ で タ ーゲ ッ ト レ ジ ス タ ア ド レ ス を設定 し 、
MDIO コ ン フ ィ ギ ュ レーシ ョ ン ワ ー ド 1 の TX OP フ ィ ール ド を ADDRESS に設定 し 、 ト ラ ンザ ク シ ョ ン を開始 し ま
す。 その後、 MDIO TX デー タ レ ジ ス タ を書 き 込まれ る デー タ に設定 し 、 TX OP フ ィ ール ド を WRITE に設定 し 、 後
続 ト ラ ンザ ク シ ョ ン を開始 し ます。
PHY レ ジ ス タ か ら 読み出 し には、 まずレ ジ ス タ ア ド レ ス を設定す る 必要があ り 、 その後に、 そのア ド レ ス か ら 値を
読み出すための ト ラ ンザ ク シ ョ ン を実行 し ます。 こ れには まず、 MDIO コ ン フ ィ ギ ュ レーシ ョ ン ワー ド 1 で タ ーゲ ッ
ト ポー ト お よ びデバ イ ス のア ド レ ス を設定 し 、MDIO TX デー タ レ ジ ス タ で タ ーゲ ッ ト レ ジ ス タ ア ド レ ス を設定 し 、
MDIO コ ン フ ィ ギ ュ レーシ ョ ン ワ ー ド 1 の TX OP フ ィ ール ド を ADDRESS に設定 し 、 ト ラ ンザ ク シ ョ ン を開始 し ま
す。 その後、 MDIO TX デー タ レ ジ ス タ を書 き込まれ るデー タ に設定 し 、 TX OP フ ィ ール ド を READ に設定 し 、 後続
ト ラ ンザ ク シ ョ ン を開始 し て、 MDIO RX デー タ レ ジ ス タ か ら の結果を読み出 し ます。
同 じ PHY ア ド レ ス空間の連続 し た レ ジ ス タ を読み出す場合は、 プ ロ ト コ ルの特殊な読み出 し モー ド を使用す る こ と
がで き ます。 まず、 読み出 し ア ド レ ス を上記の よ う に設定す る 必要があ り ますが、 最初の読み出 し 操作に対 し ては、
MDIO コ ン フ ィ ギ ュ レーシ ョ ン ワー ド 1 の関連フ ィ ール ド に読み出 し 後の イ ン ク リ メ ン ト ア ド レ ス opcode を書 き 込
む必要があ り ます。 こ れで、 上記の よ う に読み出 し 値が返 さ れます。 ま た、 読み出 し ア ド レ ス を PHY の次の レ ジ ス
タ 値に移動 さ せ る と い う 効果があ り ます。 こ のため、 同 じ opcode を順次繰 り 返す と 、 PHY の連続 し た レ ジ ス タ ア ド
レ ス か ら デー タ が返 り ます。 表 3-9 は、 MDIO を使用 し た、 ポー ト 0 の 10GBASE-R PCS への PHY レ ジ ス タ 書 き 込み
の例を示 し てい ます。
表 3‐9 : MDIO を使用 し た PHY レ ジス タ 書き込み ト ラ ンザク シ ョ ン
レジス タ
ア ク セス
値
MDIO TX デー タ
書 き 込み
0x0000002A
アクテ ィ ビテ ィ
10GBASE-R テ ス ト 制御レ ジ ス タ のア ド レ ス
MDIO コ ン フ ィ ギ ュ レーシ ョ ン
ワー ド 1
書 き 込み
0x00030800
DEVAD (3)、 PRTAD (0)、 OP(00) お よ び開始ビ ッ ト を
設定 し て ア ド レ ス ト ラ ンザ ク シ ョ ン を開始 し ます。
MDIO コ ン フ ィ ギ ュ レーシ ョ ン
ワー ド 1
読み出 し
0x00030080
ビ ッ ト 7 (MDIO レデ ィ ) が 1 にな る ま で収集 し ます。
開始ビ ッ ト が 0 に戻 り ます。
MDIO TX デー タ
書 き 込み
0x00000030
送信お よ び受信の PRBS テ ス ト パ タ ーン を オ ン に し
ます。
MDIO コ ン フ ィ ギ ュ レーシ ョ ン
ワー ド 1
書 き 込み
0x00034800
DEVAD (3)、 PRTAD (0)、 OP(01) お よ び開始ビ ッ ト を
設定 し て書き 込み ト ラ ンザ ク シ ョ ン を開始 し ます。
MDIO コ ン フ ィ ギ ュ レーシ ョ ン
ワー ド 1
読み出 し
0x00054080
DEVAD (3)、 PRTAD (0)、 OP(01) お よ び開始ビ ッ ト を
設定 し て書き 込み ト ラ ンザ ク シ ョ ン を開始 し ます。
表 3-10 は、 MDIO を使用 し た、 ポー ト 7 の 10GBASE-R PCS への PHY レ ジ ス タ 読み出 し の例を示 し てい ます。
表 3‐10 : MDIO を使用 し た PHY レ ジス タ 読み出 し ト ラ ンザク シ ョ ン
レジス タ
ア ク セス
値
MDIO TX デー タ
書 き 込み
0x00000001
PCS ス テー タ ス 1 レ ジ ス タ のア ド レ ス
MDIO コ ン フ ィ ギ ュ レーシ ョ ン
ワー ド 1
書 き 込み
0x07030800
DEVAD (3)、 PRTAD (7)、 OP(00)、 お よ び開始ビ ッ ト を
設定 し て、 ア ド レ ス ト ラ ンザ ク シ ョ ン を開始 し ます。
MDIO コ ン フ ィ ギ ュ レーシ ョ ン
ワー ド 1
読み出 し
0x07030080
ビ ッ ト 7 (MDIO レデ ィ ) が 1 にな る ま で収集 し ます。
開始ビ ッ ト が 0 に戻 り ます。
MDIO コ ン フ ィ ギ ュ レーシ ョ ン
ワー ド 1
書 き 込み
0x0703C800
DEVAD (5)、 PRTAD (0)、 OP(11)、 お よ び開始ビ ッ ト を
設定 し て、 読み出 し ト ラ ンザ ク シ ョ ン を開始 し ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
アクテ ィ ビテ ィ
109
第 3 章 : コ ア を使用するデザイ ン
表 3‐10 : MDIO を使用 し た PHY レ ジス タ 読み出 し ト ラ ンザク シ ョ ン (続き)
レジス タ
ア ク セス
値
アクテ ィ ビテ ィ
MDIO コ ン フ ィ ギ ュ レーシ ョ ン
ワー ド 1
読み出 し
0x0703C080
ビ ッ ト 7 (MDIO レデ ィ ) が 1 にな る ま で収集 し ます。
開始ビ ッ ト が 0 に戻 り ます。
MDIO RX デー タ
読み出 し
0x00000006
RX デー タ レ ジ ス タ か ら ス テー タ ス 値 を 読み戻 し ま
す。
PCS/PMA ??????????????????? ????
IEEE 802.3 フ ロー制御
こ のセ ク シ ョ ン では、 コ ア の フ ロ ー制御 ロ ジ ッ ク の操作につい て説明 し ま す。 こ の フ ロ ー制御ブ ロ ッ ク は、 IEEE
802.3-2012 規格の第 31 節に合わせて設計 さ れてい ます。 フ ロ ー制御 リ ク エ ス ト を送信 し 、 その受信に基づいて処理
す る ために コ ア を コ ン フ ィ ギ ュ レー ト で き ます。 操作モー ド は個別に イ ネーブルま たはデ ィ ス エーブルにで き ます。
詳細は、 「10G Ethernet MAC コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ 」 を参照 し て く だ さ い。 PFC 機能が含まれてい る 場合
は、 IEEE 802.3 の一旦停止フ レームか、 ま たは PFC フ レームのいずれかに対 し て、 コ ア を コ ン フ ィ ギ ュ レー ト す る 必
要があ り ます。 こ の 2 つの操作モー ド は相互排他的だか ら です。
フ ロー制御の要件
図 3-30 はフ ロ ー制御の要件を示 し てい ます。こ の図の右側の イ ーサネ ッ ト MAC の基準 ク ロ ッ ク の速度は標準周波数
よ り も 速 く 、 ま た、 左側の イ ーサネ ッ ト MAC の基準 ク ロ ッ ク の速度はそれ よ り も 遅 く な っ てい ます。 こ のため、 左
側の イ ーサネ ッ ト MAC は、 右側の イ ーサネ ッ ト MAC の フル ラ イ ン レー ト と は一致 し ません ( ク ロ ッ ク 耐性に よ る
)。 左側の MAC はループバ ッ ク イ ンプ リ メ ン テーシ ョ ンにな っ ていて、 FIFO は時間が経過す る と いっぱいにな り ま
す。 フ ロ ー制御がない と 、 こ の FIFO はやがていっぱいにな り オーバーフ ロ ーにな り 、 イ ーサネ ッ ト フ レームが破損
し た り 、 失われた り し ます。 フ ロ ー制御は こ う し た問題に対す る ソ リ ュ ーシ ョ ン なのです。
X-Ref Target - Figure 3-30
0$&
0$&
0+]SSP
7;
5;
5;
7;
$SSOLFDWLRQ
&OLHQW/RJLF
),)2
0+]SSP
図 3‐30 : フ ロー制御の要件
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
110
第 3 章 : コ ア を使用するデザイ ン
フ ロー制御の基礎
定義 さ れてい る 期間、 リ ン ク パー ト ナーが送信を停止す る よ う リ ク エ ス ト す る ため、 MAC は一旦停止制御フ レーム
を送信で き ます。 た と えば、 図 3-30 の左側の イ ーサネ ッ ト MAC は、 ク ラ イ ア ン ト FIFO (図を参照) がほぼいっぱい
の状態に達す る と 、 一旦停止 リ ク エ ス ト を開始で き ます。
別の MAC は、 受信 さ れた一旦停止制御フ レームに定義 さ れてい る 期間、 フ レームの送信を停止 し て、 受信一旦停止
フ レームに応答す る 必要があ り ます。 た と えば、 図 3-30 の右側にあ る イ ーサネ ッ ト MAC は、 左側の MAC か ら 送信
さ れた一旦停止制御フ レーム を受信 し た後に、 送信を停止 し ます。 う ま く 設計 さ れた シ ス テ ムにおいては、 左側にあ
る MAC の ク ラ イ ア ン ト FIFO がオーバーフ ロ ーす る 前に、 右側の MAC は送信を停止 し ます。 こ れに よ り 、 標準操作
を再開す る 前に、 FIFO が安全な レベルに ま で容量を下げ る 時間的余裕がで き 、 FIFO のオーバーフ ロ ーやフ レーム損
失か ら シ ス テ ム を守 る こ と がで き ます。
IEEE 802.3 一旦停止制御フ レーム
制御フ レーム と は、 IEEE 802.3-2012 規格の第 31 節で規定 さ れてい る 、 特別 タ イ プの イ ーサネ ッ ト フ レームです。 制
御フ レームは、 長 さ / タ イ プ フ ィ ール ド の定義値 (MAC 制御 タ イ プ コ ー ド ) に よ り 、 ほかの フ レーム タ イ プ と は区別
さ れます。 制御フ レーム フ ォーマ ッ ト は図 3-31 に示 さ れてい ます。
X-Ref Target - Figure 3-31
2&7(76
'(67,1$7,21
$''5(66
2&7(76
6285&(
$''5(66
2&7(76
/(1*7+7<3(
2&7(76
0$&&21752/
23&2'(
2&7(76
)5$0(
75$160,77('
72372%27720
0$&&21752/
3$5$0(7(56
5(6(59('WUDQVPLWWHG
DV]HURHV
2&7(76
)5$0(&+(&.
6(48(1&(
図 3‐31 : MAC 制御フ レーム フ ォ ーマ ッ ト
一旦停止制御フ レームは特別 タ イ プの制御フ レームで、MAC の制御 OPCODE フ ィ ール ド の定義値に よ っ て識別 さ れ
ます。
注記 : 一旦停止 (フ ロ ー制御) フ レーム以外の MAC の制御 OPCODE は、イ ーサネ ッ ト のパ ッ シブ光ネ ッ ト ワー ク 用に
近年定義 さ れてい ます。
一旦停止制御フ レームのMAC 制御パ ラ メ ー タ ー フ ィ ール ド には 16 ビ ッ ト の フ ィ ール ド があ り 、こ の フ ィ ール ド には
一旦停止す る 期間に直接関連 し たバ イ ナ リ 値が含まれてい ます。 こ れは、 pause_quantum の数 (特定 イ ンプ リ メ ン テー
シ ョ ンの 512 ビ ッ ト の倍数) を定義 し ます。 10 ギガ ビ ッ ト イ ーサネ ッ ト の場合は、 1 pause_quantum = 51.2ns にな り
ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
111
第 3 章 : コ ア を使用するデザイ ン
一旦停止制御フ レームの送信
コ アから の一旦停止 リ ク エ ス ト
コ アが送信フ ロ ー制御をサポー ト す る よ う コ ン フ ィ ギ ュ レー ト さ れてい る 場合、 s_axis_pause_tvalid 信号を ア
サー ト し て一旦停止制御フ レーム を開始で き ま す。 こ の と き 、 一旦停止パ ラ メ ー タ ーは、 s_axis_pause_tvalid
がアサー ト さ れた と き のサ イ ク ルの s_axis_pause_tdata の値に設定 さ れてい ます。こ れに よ っ て処理中の フ レー
ム送信が妨げ ら れ る こ と はあ り ませんが、 保留中のフ レーム送信 よ り も 優先 さ れます。 ト ラ ン ス ミ ッ タ ーが一旦停止
ス テー ト にあ っ て も 、 こ の フ レームは送信 さ れます。 図 3-32 に こ の タ イ ミ ン グ を示 し ます。
X-Ref Target - Figure 3-32
FON
VBD[LVBSDXVHBWYDOLG
VBD[LVBSDXVHBWGDWD>@
図 3‐32 : 一旦停止 リ ク エス ト の タ イ ミ ン グ
こ れに よ り 、 コ アは一旦停止制御フ レーム を作成 し 、 MAC 制御フ レーム パ ラ メ ー タ ーを使用 し て リ ン ク でそれを送
信 し ます (図 3-31 を参照)。
•
使用 さ れ る デス テ ィ ネーシ ョ ン ア ド レ ス は、 IEEE 802.3-2012 でグ ロ ーバルに割 り 当て ら れてい る マルチキ ャ ス
ト ア ド レ ス です (ど の フ ロ ー制御対応 MAC で も こ れに応答す る )。
•
使用 さ れ る ソ ース ア ド レ ス は コ ン フ ィ ギ ュ レーシ ョ ン可能な一旦停止フ レーム MAC ア ド レ ス です ( 「10G
Ethernet MAC コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ 」 を参照)。
•
s_axis_pause_tvalid がアサー ト さ れた と き 、s_axis_pause_tdata[15:0] ポー ト か ら サンプル さ れた値
が、MAC 制御パ ラ メ ー タ ー フ ィ ール ド にエン コ ー ド さ れ、一旦停止の期間を選択 し ます (pause_quantum の単位)。
一旦停止 リ ク エ ス ト が出 さ れた と き ト ラ ン ス ミ ッ タ ーがア ク テ ィ ブでない場合は、 こ の一旦停止制御フ レームはすぐ
に送信 さ れます。 ト ラ ン ス ミ ッ タ ーがビ ジー状態であ る 場合は、 送信中の現在のフ レームは完了 し ます。 こ の後、 保
留中の ク ラ イ ア ン ト か ら の フ レームの前に、 一旦停止制御フ レームが続 き ます。
ト ラ ン ス ミ ッ タ ー自体が一旦停止 リ ク エ ス ト の受信に応答 し て送信を停止 し ていて も 、 こ の方法で開始 し た一旦停止
制御フ レームは送信 さ れます。
重要 : ト ラ ン ス ミ ッ タ ー に は一旦停止制御 フ レ ー ム リ ク エ ス ト は 1 つ し か 格納 さ れ ま せ ん。 短期間 に 何度 も
s_axis_pause_tvalid 信号が ア サー ト さ れた場合 (一旦停止制御 フ レ ーム送信が開始す る 前)、 一旦停止制御 フ
レームは 1 つだけ送信 さ れます。 使用 さ れてい る pause_val[15:0] 値は最新サンプル値です。
XON/XOFF の拡張機能
コ アが PFC 機能を含めた状態で生成 さ れていなが ら も 、IEEE 802.3 機能を選択 し て コ ン フ ィ ギ ュ レー ト さ れてい る 場
合、 コ アは XON/XOFF 機能を サポー ト し ます。 図 3-33 にあ る よ う に、 s_axis_pause_tvalid 信号がアサー ト さ
れ る と 、 コ アは新 し い一旦停止フ レーム を生成 し ます。 こ の後、 2 ク ロ ッ ク サ イ ク ル以上 こ の信号が High に保持 さ
れ る と 、 コ アの内部量子カ ウ ン ト が レ ガシ リ フ レ ッ シ ュ 値で指定 さ れてい る (レ ジ ス タ ま たは コ ン フ ィ ギ ュ レーシ ョ
ン ベ ク タ ーで) 量子数に達す る たびに、 コ アは自動的に新 し い一旦停止フ レーム を生成 し ます。図 3-33 では XOFF リ
フ レ ッ シ ュ フ レーム と し て示 さ れてい ます。 s_axis_pause_tvalid がデ ィ アサー ト さ れ る と 、 オー ト XON 機能
が イ ネーブルにな っ ていれば、 XON フ レーム (一旦停止量子がゼ ロ にな っ てい る 標準フ レーム) が自動的に生成 さ れ
ます。 オー ト XON 機能は図 3-33 に示 さ れてい ます。 オー ト XON が イ ネーブルにな っ ていない場合は、 残 り の量子
はその ま ま有効期間が過ぎ る ま で リ ン ク パー ト ナーに留め置かれます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
112
第 3 章 : コ ア を使用するデザイ ン
X-Ref Target - Figure 3-33
SDXVHBUHT
SDXVHBYDOXH>@
[))))
OHJDF\BUHIUHVK
[))
TXDQWDBFRXQW
[))))
[))
[)()(
[)())
[))
[))
[
[
3DXVHIUDPH7;
;2))
;2))5()5(6+
;21
3DXVH7;TXDQWD
[))))
[))))
[
図 3‐33 : XON/XOFF フ レーム送信
ク ラ イ ア ン ト か ら の一旦停止 リ ク エ ス ト
最大限に柔軟性を持たせ る ため、フ ロ ー制御 ロ ジ ッ ク を コ アでデ ィ ス エーブルに し (「10G Ethernet MAC コ ン フ ィ ギ ュ
レーシ ョ ン レ ジ ス タ 」 を参照)、 代わ り に コ アに接続 さ れた ク ラ イ ア ン ト ロ ジ ッ ク に イ ンプ リ メ ン ト す る こ と がで き
ま す。 標準 イ ーサネ ッ ト フ レ ーム と 同 じ 送信プ ロ シージ ャ を使用 し て、 ど の タ イ プの制御フ レーム も ク ラ イ ア ン ト
イ ン タ ーフ ェ イ ス上で コ ア を介 し て送信す る こ と がで き ます ( 「通常のフ レーム送信」 を参照)。
一旦停止制御フ レームの受信
一旦停止 リ ク エ ス ト への コ アか らの応答
エ ラ ーのない制御フ レームは、 図 3-31 の フ ォーマ ッ ト に一致す る 受信フ レームです。 こ れは標準レ シーバー フ レー
ム チ ェ ッ ク をすべてパ スす る 必要があ り ます (FCS フ ィ ール ド チ ェ ッ ク な ど)。 さ ら に、 受信 し た制御フ レームの長
さ はち ょ う ど 64 バ イ ト であ る 必要があ り ます (デス テ ィ ネーシ ョ ン ア ド レ ス か ら FCS フ ィ ール ド ま でで、 デス テ ィ
ネーシ ョ ン ア ド レ スお よ び FCS フ ィ ール ド を含む)。64 バ イ ト と い う のは イ ーサネ ッ ト MAC フ レーム サ イ ズの最小
有効値です。 ま たは、 受信 し た制御フ レームには制御フ レームの長 さ チ ェ ッ ク デ ィ ス エーブルが設定 さ れてい る 必要
があ り ます。 詳細は、 「10G Ethernet MAC コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ 」 を参照 し て く だ さ い。
こ れ ら のチ ェ ッ ク をパ ス し ない受信制御フ レームにエ ラ ーが含まれていてい る 場合、 不正な フ レーム であ る こ と を示
すため m_axis_rx_tlast がアサー ト さ れてい る と 、m_axis_rx_tuser 信号がデ ィ アサー ト さ れた状態で、レ シー
バー ク ラ イ ア ン ト に渡 さ れます。
•
一旦停止フ レーム受信のデ ィ ス エーブル
一旦停止制御の受信がデ ィ ス エーブルにな っ てい る と ( 「10G Ethernet MAC コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ 」
を 参照)、 フ レ ー ム が 有 効 で あ る こ と を 示す た め
m_axis_rx_tlast
が ア サー ト さ れた と き に
m_axis_rx_tuser がアサー ト さ れた状態で、 エ ラ ーのない制御フ レームが ク ラ イ ア ン ト イ ン タ ーフ ェ イ ス を
介 し て受信 さ れます。 こ う し て、 処理用に フ レームが ク ラ イ ア ン ト ロ ジ ッ ク に渡 さ れます ( 「一旦停止 リ ク エ ス
ト への ク ラ イ ア ン ト か ら の応答」 を参照)。
•
一旦停止フ レーム受信の イ ネーブル
一旦停止フ レーム受信が イ ネーブルにな っ ていて ( 「10G Ethernet MAC コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ 」 を参
照)、 コ アがエ ラ ーのない フ レーム を受信す る 場合、 フ レーム デコ ー ド 機能が実行 さ れます。
a.
デス テ ィ ネーシ ョ ン ア ド レ ス フ ィ ール ド は、 IEEE 802.3-2012 のグ ロ ーバルに割 り 当て ら れてい る マルチ
キ ャ ス ト ア ド レ ス、 ま たは コ ン フ ィ ギ ュ レーシ ョ ン可能な一旦停止フ レーム MAC ア ド レ ス と 照合 さ れます
( 「10G Ethernet MAC コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ 」 を参照)。
b.
長 さ / タ イ プ フ ィ ール ド は MAC 制御 タ イ プ コ ー ド と 照合 さ れます。
c.
opcode フ ィ ール ド の内容は一旦停止 opcode と 照合 さ れます。
こ れ ら のチ ェ ッ ク の いずれか が
False
の 場合 は、 フ レ ー ム は フ ロ ー制御 ロ ジ ッ ク に よ り 無視 さ れ、
m_axis_rx_tuser を アサー ト す る こ と に よ り 、 処理のため ク ラ イ ア ン ト ロ ジ ッ ク に渡 さ れ ます。 こ の制御フ
レーム をデ コ ー ド し 、 必要であれば処理を し て破棄す る のは、 MAC ク ラ イ ア ン ト ロ ジ ッ ク の役割です。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
113
第 3 章 : コ ア を使用するデザイ ン
こ れ ら のチ ェ ッ ク がすべて True の場合、制御フ レームの MAC 制御パ ラ メ ー タ ー フ ィ ール ド の 16 ビ ッ ト バ イ ナ
リ 値は、 必要な数の pause_quantum 分だけ、 ト ラ ン ス ミ ッ タ ー操作を禁止 し ます。 リ ク エ ス ト さ れた期間が過ぎ
る ま で、 ト ラ ン ス ミ ッ タ ー ク ラ イ ア ン ト イ ン タ ーフ ェ イ ス で tx_ack のアサー ト を遅 ら せる こ と に よ っ て、 こ の
禁止は イ ンプ リ メ ン ト さ れてい ます。 受信 さ れた一旦停止フ レームが処理 さ れてい る ので、 ク ラ イ ア ン ト に こ れ
を破棄す る べ き であ る こ と を示すため、 m_axis_rx_tuser をデ ィ アサー ト し て、 ク ラ イ ア ン ト に渡 さ れます。
フ レームは統計ベ ク タ ーお よ びカ ウ ン タ ーで も 有効であ る と みな さ れます。
重要 : 長 さ / タ イ プ フ ィ ール ド に MAC 制御 タ イ プ コ ー ド が含ま れてい る フ レームはすべて、 レ シーバーの ク ラ イ ア
ン ト ロ ジ ッ ク で破棄す る 必要があ り ます。 すべての制御フ レームは rx_statistic_vector のビ ッ ト 20 で示 さ れます ( 「受
信統計ベ ク タ ー」 を参照)。
一旦停止 リ ク エ ス ト への ク ラ イ ア ン ト か らの応答
最大限に柔軟性を持たせ る ため、フ ロ ー制御 ロ ジ ッ ク を コ アでデ ィ ス エーブルに し (「10G Ethernet MAC コ ン フ ィ ギ ュ
レーシ ョ ン レ ジ ス タ 」 を参照)、 代わ り に コ アに接続 さ れた ク ラ イ ア ン ト ロ ジ ッ ク に イ ンプ リ メ ン ト す る こ と がで き
ます。 ど の タ イ プのエ ラ ーのない制御フ レーム も m_axis_rx_tuser 信号がアサー ト さ れた状態で、 コ アに渡 さ れ
ます。 こ う し て、 処理用に フ レームが ク ラ イ ア ン ト に渡 さ れます。 こ れを受けて、 ク ラ イ ア ン ト は こ の制御フ レーム
を破棄 し 、 必要であれば コ アへの送信を停止 し て フ レーム を処理 し ます。
フ ロー制御イ ン プ リ メ ン テーシ ョ ン例
こ こ では、 概念を説明す る ため、 フ ロ ー制御 イ ンプ リ メ ン テーシ ョ ンの簡単な例を紹介 し ます。
図 3-30 にあ る シ ス テ ム を例に と っ てみます。 図の左側の イ ーサネ ッ ト MAC は、 ク ロ ッ ク 耐性が原因で、 右側の イ ー
サネ ッ ト MAC の フル ラ イ ン レー ト に一致 さ せ る こ と がで き ません。 やがて FIFO はいっぱいにな り オーバーフ ロ ー
にな り ます。 こ こ では、 左側の MAC の低いほ う の フル ラ イ ン レー ト の平均に、 右側の MAC の フル ラ イ ン レー ト
を時間をかけて低減 さ せ る と い う 、 フ ロ ー制御を イ ンプ リ メ ン ト し ます。
方法
1.
FIFO の容量でほぼいっぱいの レベルを選択 し ます ( こ の例では 7/8 レベルが使用 さ れてい ますが、 実際に選択す
る レベルは イ ンプ リ メ ン テーシ ョ ンに よ っ て異な り ます)。FIFO の容量が こ の レベルを超る と 、pause_quantum の
期間に 0xFFFF が選択 さ れた状態の一旦停止制御フ レーム が 1 つ開始 し ます (0xFFFF は pause_val[15:0] に
あ り ます)。 こ れは一旦停止期間の最大値です。 こ れに よ り 、 右側の MAC が送信を停止 し 、 左側の MAC の FIFO
の容量が低下 し 始め空にな っ てい き ます。
2.
も う 1 つ FIFO の容量レベルを選択 し ます ( こ の例では 3/4 レベルが使用 さ れてい ますが、実際に選択す る レベル
は イ ンプ リ メ ン テーシ ョ ンに よ っ て異な り ます)。FIFO の容量が こ の レベルを下回る と 、pause_quantum の期間に
0x0000 が選択 さ れた状態の 2 つ目の一旦停止制御フ レームが開始 し ます (0x0000 は pause_val[15:0] にあ り
ま す)。 こ れは、 一旦停止期間がゼ ロ であ る こ と を示 し 、 ま た こ の一旦停止制御フ レーム を受信す る と す ぐ に右
側の MAC が送信を再開 し ます (元の リ ク エ ス ト さ れた一旦停止期間が経過する のを待ち ません)。 こ のため、 こ
の一旦停止制御フ レームは 「pause-cancel」 コ マ ン ド と みな さ れます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
114
第 3 章 : コ ア を使用するデザイ ン
操作
図 3-34 はFIFO の容量レベルを経時で示 し てい ます (時間軸はシ ス テ ムに よ っ て左右 さ れ る )。
X-Ref Target - Figure 3-34
)XOO
),)22FFXSDQF\
$
&
%
7LPH
図 3‐34 : FIFO の容量レ ベルによ っ て変わる フ ロー制御のイ ン プ リ メ ン テーシ ョ ン
1.
ク ロ ッ ク 耐性のため、 左側 MAC の平均 FIFO レベルは徐々に増加 し てい き ます。 A ポ イ ン ト では、 7/8 ま でいっ
ぱいにな っ てい ます。 こ のため、 一旦停止制御フ レームで最大値の停止期間が リ ク エ ス ト さ れます。
2.
一旦停止制御フ レーム を受信す る と 、 右側の MAC が送信を停止 し ます。
3.
右側の MAC が送信を停止 し た後に、 左側の MAC に接続 さ れてい る FIFO の容量レベルが急速に下が り 、 空に
な っ てい き ます。 B ポ イ ン ト で、 容量レベルが 3/4 に下が り ます。 こ れに よ り 、 一旦停止制御フ レームで停止期
間ゼ ロ が リ ク エ ス ト さ れます (pause-cancel コ マ ン ド )。
4.
2 番目の一旦停止制御フ レーム を受信する と 、 右側の MAC が送信を再開 し ます。
5.
標準操作が再開 し 、 FIFO の容量レベルは再び徐々に増加 し てい き ます。 C ポ イ ン ト で、 フ ロ ー制御の こ のサ イ
ク ルが繰 り 返 さ れます。
優先フ ロー制御
こ のセ ク シ ョ ンでは、 コ アの優先フ ロ ー制御 (PFC) ロ ジ ッ ク の操作について説明 し ます。優先ベース の フ ロ ー制御は、
IEEE 規格 802.1Qbb [参照 2]で定義 さ れてい ま す。 優先ベース の フ ロ ー制御 リ ク エ ス ト を送受信す る ために コ ア を コ
ン フ ィ ギ ュ レー ト で き ます。操作モー ド は個別に イ ネーブルま たはデ ィ ス エーブルにで き ます。詳細は、「10G Ethernet
MAC コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ 」 を参照 し て く だ さ い。 PFC お よ び 802.3 の両方の フ ロ ー制御が イ ネーブル
にな っ てい る MAC 操作はサポー ト さ れてい ません。 こ の 2 つの操作モー ド は相互排他的であ る か ら です。
優先 フ ロー制御要件
「IEEE 802.3 フ ロ ー制御」 で説明 さ れてい る よ う に、 フ レーム が供給 さ れてい る レー ト で受信ポー ト が フ レーム を処
理で き ない場合に フ レーム破棄を避け る ため、 フ ロ ー制御の基本要件があ り ます。 IEEE 802.3 の一旦停止フ レームは
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
115
第 3 章 : コ ア を使用するデザイ ン
リ ン ク 全体で処理 さ れ ます。 こ れは、 送信待機 し てい る 優先キ ュ ーが複数あ っ て、 それぞれのキ ュ ーが別々の FIFO
か ら き てい る と き には理想的ではあ り ません。 こ の よ う な場合、 優先ベース の フ ロ ー制御を使用 し て 1 つま たは複数
(8 つま で) の特定キ ュ ーの送信を禁止す る こ と がで き ます。 フ レーム優先順位には 8 つあ っ て IEEE 802.1p で定義 さ
れてい ます。 図 3-35 は、 2 つの異な る優先キ ューの イ ンプ リ メ ン テーシ ョ ン を説明 し てい ます。
X-Ref Target - Figure 3-35
0$&
0$&
PBD[LVBU[
7;
3ULRULW\
),)2
&OLHQW/RJLF
VBD[LVBW[
5;
3ULRULW\
),)2
;RIIBUHTXHVW
5;
),)2
DOORWKHUSULRULWLHV
;RIIBUHTXHVW
7;
),)2
DOORWKHU
W[BSIFBSBWYDOLG
W[BSIFBSBWYDOLG
W[BSIFBSBWYDOLG
W[BSIFBSBWYDOLG
W[BSIFBSBWYDOLG
W[BSIFBSBWYDOLG
W[BSIFBSBWYDOLG
W[BSIFBSBWYDOLG
SULRULWLHV
U[BSIFBSBWYDOLG
U[BSIFBSBWYDOLG
U[BSIFBSBWYDOLG
U[BSIFBSBWYDOLG
U[BSIFBSBWYDOLG
U[BSIFBSBWYDOLG
U[BSIFBSBWYDOLG
U[BSIFBSBWYDOLG
図 3‐35 : 優先フ ロー制御要件
MAC は PFC フ レーム を送信 し て、 8 つあ る フ レーム優先キ ュ ーの 1 つま たは複数のキ ュ ーの送信を、 リ ン ク パー ト
ナーが定義 さ れてい る 時間だけ停止す る よ う に リ ク エ ス ト で き ます。 た と えば、 図 3-35 の左側にあ る イ ーサネ ッ ト
MAC は、 その RX 優先度 0 の FIFO がほぼいっぱいの状態に達 し た場合に、 PFC リ ク エ ス ト を開始で き ます。 こ れは
XOFF リ ク エ ス ト にな り ます。 こ の リ ク エ ス ト をす る には、RX 優先度 0 の FIFO が s_axis_tx_pfc_p0_tvalid 信
号を High に駆動 し 、 再びデー タ を受信で き る よ う に準備が整 う ま でその信号を High に保持 し ます ( こ れは MAC で
サポー ト さ れてい る 操作モー ド の 1 つです)。 こ の FIFO がほぼいっぱいの状態が長 く 続 く 場合、 最初に リ ク エ ス ト さ
れた時間を経過 し た と き に リ ン ク パー ト ナーが こ の フ レーム ク ラ ス の送信を再開始 し ない よ う にす る ため、 コ アは
新 し い PFC リ ク エ ス ト を自動的に生成 し ます。
FIFO の容量が指定レベルに下が り 、再びデー タ 受信で き る よ う にな る と 、s_axis_tx_pfc_p0_tvalid 信号を Low
に駆動す る こ と でその フ レーム ク ラ ス の送信を解禁で き ます。 こ の時点で、残 り の一旦停止量子 (期間) をすべて キ ャ
ン セルす る ための PFC を生成す る ため、 コ ア を オプシ ョ ンで コ ン フ ィ ギ ュ レー ト で き ます。 こ れにはゼ ロ の一旦停止
量子値を優先度 0 の PFC フ レームに設定 し ます。 こ れは XON リ ク エ ス ト にな り ます。
コ アが優先ベース フ ロ ー制御フ レーム を受信する 場合は、 すべての フ レーム送信を停止せずに、 指定の優先度 (複数
の場合 も あ る ) の送信を直接停止す る こ と はで き ません。 特定の優先度を一旦停止 さ せ る ため、 MAC には優先度ご と
に一旦停止 リ ク エ ス ト す る 出力信号があ り ます。 こ れは、 特定優先度の フ レーム送信を禁止す る ためにアサー ト で き
ます。 た と えば、 図 3-35 の右側にあ る イ ーサネ ッ ト MAC は、 左側の MAC か ら 送信 さ れた PFC フ レーム を受信 し た
後に、TX 優先度 0 の FIFO キ ュ ーか ら の送信を停止 し ます。 う ま く 設計 さ れたシ ス テ ムにおいては、左側にあ る MAC
の RX 優先度 0 FIFO がオーバーフ ロ ーす る 前に、 右側の MAC は送信を停止 し ます。 こ れに よ り 、 標準操作を再開
す る 前に、 FIFO が安全な レベルに ま で容量を下げ る 時間的余裕がで き 、 FIFO のオーバーフ ロ ーやフ レーム損失か ら
シ ス テ ム を守 る こ と がで き ます。
優先ベース フ ロー制御フ レーム
優先ベー ス フ ロ ー制御フ レームは IEEE 802.1Qbb で規定 さ れてい る 特別な タ イ プの イ ーサネ ッ ト フ レーム です。 制
御フ レームは、 長 さ / タ イ プ フ ィ ール ド の定義値 (MAC 制御 タ イ プ コ ー ド ) に よ り 、 ほかの フ レーム タ イ プ と は区別
さ れます。 図 3-36 は こ の優先ベース フ ロ ー制御フ レーム フ ォーマ ッ ト を示 し てい ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
116
第 3 章 : コ ア を使用するデザイ ン
X-Ref Target - Figure 3-36
2&7(76
'(67,1$7,21
$''5(66
[&
2&7(76
6285&(
$''5(66
2&7(76
7<3(/(1*7+
[
2&7(76
35,25,7<)/2:
&21752/23&2'(
[
2&7(76
35,25,7<(1$%/(
9(&725
2&7(76
35,25,7<48$17$
9(&725
2&7(76
5(6(59('
WUDQVPLWWHGDV]HURV
2&7(76
)5$0(
75$160,77('
72372%27720
)5$0(&+(&.
6(48(1&(
図 3‐36 : MAC 優先ベース フ ロー制御 フ レーム フ ォ ーマ ッ ト
PFC フ レームは特別 タ イ プの制御フ レーム で、 OPCODE フ ィ ール ド の定義値に よ っ て識別 さ れ ます。 こ れは図 3-36
に示 さ れてい ます。
16 ビ ッ ト の優先 イ ネーブル ベ ク タ ーには、 8 つの優先 イ ネーブル ビ ッ ト が含ま れていて、 ほかのすべてのビ ッ ト は
ゼ ロ に設定 さ れます。 優先ベ ク タ ー フ ィ ール ド には 8 つの 16 ビ ッ ト 量子値が含まれてい ます (各優先度に 1 つずつ、
優先度 0 が 1 番目)。 こ れは、 優先 さ れ る 一旦停止期間を リ ク エ ス ト す る 場合の pause_quantum の数 (特定 イ ンプ リ メ
ン テーシ ョ ンの 512 ビ ッ ト の倍数) を定義 し ます。10 ギガ ビ ッ ト イ ーサネ ッ ト の場合は、1 pause_quantum = 51.2ns に
な り ます。
PFC フ レームの送信
コ アから の リ ク エ ス ト
PFC フ レーム生成方法には 3 つあ り 、 そのすべてにおいて、 TX PFC が イ ネーブル さ れてい る こ と 、 ア ク テ ィ ブに使
用 さ れてい る 優先度にはそれに対応 し た TX 優先 イ ネーブルがあ り 、 それが 1 に設定 さ れてい る も の と し てい ます。
1.
8 つの s_axis_tx_pfc_p[0-7]_tvalid 信号の 1 つま たは複数を アサー ト し ます。
s_axis_tx_pfc_p[0-7]_tvalid が複数サ イ ク ル間アサー ト さ れた場合は、 XOFF リ ク エ ス ト と みな さ れ、
新 し い PFC フ レームが送信 さ れ る たびに、 s_axis_tx_pfc_p[0-7]_tvalid がデ ィ アサー ト さ れ る ま で、
MAC は リ ン ク バー ト ナーで関連量子を リ フ レ ッ シ ュ し ます。 s_axis_tx_pfc_p[0-7]_tvalid が 1 サ イ ク
ル間アサー ト さ れた場合は、 適宜対応す る tvalid を こ れに続いて再度アサー ト する こ と に よ り 直接必要な リ
フ レ ッ シ ュ が行われ る も の と し ます。
2.
s_axis_tx_pfc_p[0-7]_tvalid 信号を High に保持す る と 、 イ ーサネ ッ ト MAC の内部量子カ ウ ン ト が、 そ
の優先度に対 し あ ら か じ めプ ロ グ ラ ム さ れてい る リ フ レ ッ シ ュ 値に達 し ます。 こ の リ フ レ ッ シ ュ 値に達す る と 、
新 し い PFC フ レーム ( こ の フ レームに も その優先度に対 し て一旦停止値があ ら か じ めプ ロ グ ラ ム さ れてい る ) を
再送信 し て、 MAC は優先一旦停止 リ ク エ ス ト を リ フ レ ッ シ ュ し ます。 8 つの優先度にはそれぞれ、 一旦停止期間
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
117
第 3 章 : コ ア を使用するデザイ ン
お よ び リ フ レ ッ シ ュ 値の両方を含む コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ があ り ます ( 「10G Ethernet MAC コ ン フ ィ
ギ ュ レーシ ョ ン レ ジ ス タ 」 の表 2-49 を参照)。
3.
s_axis_tx_pfc_p[0-7]_tvalid を複数サ イ ク ル間 High に保持 し た後、 こ の信号をデ ィ アサー ト し ます。 こ
れを受けて TX オー ト XON 機能が イ ネーブルにな り ます。 こ れに よ り 、 新 し い PFC フ レームが、 優先量子が イ
ネーブルにな り 、 ま たそれがゼ ロ の状態で、 送信 さ れます。 こ れは XON リ ク エ ス ト と みな さ れます。
新 し い PFC フ レーム が送信 さ れ る と 、 現在ア ク テ ィ ブで、 イ ネーブルにな っ てい る 優先度の量子 も 再送信 さ れ る の
で、 リ ン ク パー ト ナーで各優先量子が リ フ レ ッ シ ュ さ れ る こ と にな り ます。 ま た、 こ れに よ り 内部量子カ ウ ン ト も 再
開 さ れます。
8 つの s_axis_tx_pfc_p[0-7]_tvalid 信号は clk156 に同期 し てい ます。 さ ま ざ ま な送信方法については図 3-37
を参照 し て く だ さ い。 図 3-37 では、 s_axis_tx_pfc_p0_tvalid がアサー ト さ れ、 High に保持 さ れてい ます。 こ れ
に よ り 、 PFC フ レームは P0 量子のみが イ ネーブルにな っ てい る 状態にな り ます (0xFFFF に設定 さ れてい る)。 何サ イ
ク ルか後に、 s_axis_tx_pfc_p2_tvalid が 1 サ イ ク ル間アサー ト さ れ、 P0 および P2 の両方の量子が イ ネーブルに
な っ てい る、 新 し い PFC フ レームが送信 さ れます (内部量子カ ウ ン ト も 再開)。 続いて、 こ の内部量子カ ウ ン ト は優先
度 0 に対 し てプ ロ グ ラ ム さ れてい る リ フ レ ッ シ ュ値に達し 、 リ フ レ ッ シ ュ PFC フ レームは、 P0 量子のみが イ ネーブル
にな っ てい る状態で送信 さ れます (その他すべての tvalid リ ク エ ス ト は Low)。s_axis_tx_pfc_p6_tvalid がアサー
ト さ れ、High に保持 さ れます。 こ の場合 も 、P0 およ び P6 の両方の量子が イ ネーブルにな っ てい る、新 し い PFC フ レー
ム (P6 XOFF) が送信 さ れます。 最後に 図 3-37 では、 s_axis_tx_pfc_p0_tvalid がデ ィ アサー ト さ れ、 P0 お よ び
P6 の両方の量子が イ ネーブルにな り 、 P0 量子が 0x0 に設定 さ れた、 別の PFC フ レーム (P0 XON) が送信 さ れます。
X-Ref Target - Figure 3-37
W[BSIFBSBWYDOLG
W[BSIFBSBWYDOLG
W[BSIFBSBWYDOLG
W[BSIFBSBWYDOLG
W[BSIFBSBWYDOLG
W[BSIFBSBWYDOLG
W[BSIFBSBWYDOLG
W[BSIFBSBWYDOLG
SBTXDQWD
[))))
[))))
[))))
SBTXDQWD
[
[
[
SBTXDQWD
[
[
[
SBUHIUHVK
[))
[))
[))
SBUHIUHVK
TXDQWDBFRXQW
3)&IUDPH7;
[
3;2))
3)&IUDPH^3ULRULW\(QDEOHILHOG`
[
[)()(
3;2))
[)())
[))
3;2))5()5(6+
[
[
[
[
3;2))
3;21
[
[
[
[
[
^4XDQWDILHOG`
[))))
[))))
[))))
[))))
[
^4XDQWDILHOG`
[
[
[
[
[
^4XDQWDILHOG`
[
[
[
[
[
図 3‐37 : TX PFC フ レーム送信
ク ラ イ アン ト からのリ ク エス ト
最大限に柔軟性を持たせ る ため、フ ロ ー制御 ロ ジ ッ ク を コ アでデ ィ ス エーブルに し (「10G Ethernet MAC コ ン フ ィ ギ ュ
レーシ ョ ン レ ジ ス タ 」 を参照)、 代わ り に コ アに接続 さ れた ク ラ イ ア ン ト ロ ジ ッ ク に イ ンプ リ メ ン ト す る こ と がで き
ま す。 標準 イ ーサネ ッ ト フ レ ーム と 同 じ 送信プ ロ シージ ャ を使用 し て、 ど の タ イ プの制御フ レーム も ク ラ イ ア ン ト
イ ン タ ーフ ェ イ ス上で コ ア を介 し て送信す る こ と がで き ます ( 「通常のフ レーム送信」 を参照)。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
118
[
第 3 章 : コ ア を使用するデザイ ン
PFC フ レームの受信
PFC リ ク エ ス ト に対する コ アか ら の応答
エ ラ ーのない制御フ レームは、 図 3-36 の フ ォーマ ッ ト に一致す る 受信フ レームです。 こ れは標準レ シーバー フ レー
ム チ ェ ッ ク をすべてパ スす る 必要があ り ます (FCS フ ィ ール ド チ ェ ッ ク な ど)。 さ ら に、 受信 し た制御フ レームの長
さ はち ょ う ど 64 バ イ ト であ る 必要があ り ます (デス テ ィ ネーシ ョ ン ア ド レ ス か ら FCS フ ィ ール ド ま でで、 デス テ ィ
ネーシ ョ ン ア ド レ スお よ び FCS フ ィ ール ド を含む)。64 バ イ ト と い う のは イ ーサネ ッ ト MAC フ レーム サ イ ズの最小
有効値です。 ま たは、 受信 し た制御フ レームには制御フ レームの長 さ チ ェ ッ ク デ ィ ス エーブルが設定 さ れてい る 必要
があ り ます。
こ れ ら のチ ェ ッ ク をパ ス し ない受信制御フ レームにはエ ラ ーが含まれていて、m_axis_rx_tlast がアサー ト さ れて
い る サ イ ク ルで、 m_axis_rx_tuser 信号がデ ィ アサー ト さ れた状態で、 レ シーバー ク ラ イ ア ン ト に渡 さ れます。
PFC フ レーム受信のデ ィ ス エーブル
PFC 受信がデ ィ ス エーブルに な っ て い る 場合 ( 「10G Ethernet MAC コ ン フ ィ ギ ュ レ ー シ ョ ン レ ジ ス タ 」 を 参照)、
m_axis_rx_tuser 信号がアサー ト さ れてい る 状態で ク ラ イ ア ン ト イ ン タ ーフ ェ イ ス を介 し て、 エ ラ ーのない制御
フ レームが 受信 さ れます。 こ う し て、 処理用に フ レームが ク ラ イ ア ン ト ロ ジ ッ ク に渡 さ れます ( 「一旦停止 リ ク エ ス
ト への ク ラ イ ア ン ト か ら の応答」 を参照)。
一旦停止 フ レーム受信のイ ネーブル
一旦停止フ レーム受信が イ ネーブルにな っ ていて ( 「10G Ethernet MAC コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ 」 を参照)、
コ アがエ ラ ーのない フ レーム を受信す る 場合、 フ レーム デコ ー ド 機能が実行 さ れます。
•
デス テ ィ ネーシ ョ ン ア ド レ ス フ ィ ール ド は、 MAC 制御マルチキ ャ ス ト ア ド レ ス ま たは コ アに対 し て コ ン フ ィ
ギ ュ レー ト さ れた ソ ース ア ド レ ス と 照合 さ れます ( 「10G Ethernet MAC コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ 」 を参
照)。
•
長 さ / タ イ プ フ ィ ール ド は MAC 制御 タ イ プ コ ー ド 88-08 と 照合 さ れます。
•
opcode フ ィ ール ド の内容は優先ベース の フ ロ ー制御 opcode と 照合 さ れます。
こ れ ら のチ ェ ッ ク のいずれかが FALSE であ っ た り 、 ま たは MAC レ シーバーの PFC がデ ィ ス エーブルにな っ てい る
と 、 フ レームは PFC ロ ジ ッ ク で無視 さ れ、 ク ラ イ ア ン ト に渡 さ れます。
フ レームが こ れ ら のチ ェ ッ ク をすべてパ ス し 、 長 さ が最小有効値であ る 場合、 ま たは制御フ レーム長 さ チ ェ ッ ク
デ ィ ス エーブルが設定 さ れていて、 MAC レ シーバー PFC が イ ネーブルにな っ てい る 場合は、 優先 イ ネーブル
フ ィ ール ド お よ び優先度ご と の量子値が フ レームか ら 抽出 さ れます。 特定の優先度が、 ゼ ロ 以外の一旦停止量子値
の フ レームで イ ネーブルにな っ てい る 場合は、 対応す る m_axis_rx_pfc_p[0-7]_tvalid 出力がアサー ト さ れ、
リ ク エ ス ト さ れた量子値がその優先度の制御 ロ ジ ッ ク に読み込まれます。 こ の制御 ロ ジ ッ ク は、 リ ク エ ス ト さ れた
一旦停止量子値が読み込まれ る カ ウ ン タ ーか ら 構成 さ れ、 ゼ ロ ま でデ ク リ メ ン ト し ます。
m_axis_rx_pfc_p[0-7]_tvalid は、 ロ ーカル量子カ ウ ン タ ーがゼ ロ 以外の値の と き に、 アサー ト さ れた ま ま に
な り ます。 ロ ーカル量子カ ウ ン タ ーは、 m_axis_rx_pfc_p[0-7]_tvalid が High にな る ま でデ ク リ メ ン ト を開始
し ません。 m_axis_rx_pfc_p[0-7]_tready が続いてデ ィ アサー ト さ れて も 量子カ ウ ン タ ーはゼ ロ にデ ク リ メ
ン ト さ れません。 量子カ ウ ン ト がゼ ロ にな り 、 対応す る 優先量子が イ ネーブルでゼ ロ 以外の値にな っ てい る 新 し い
PFC が受信 さ れて リ フ レ ッ シ ュ さ れない場合は、 m_axis_rx_pfc_p[0-7]_tvalid がデ ィ アサー ト さ れます。 こ
の例は図 3-38 に示 さ れていて、 1 フ レームで 1 つの優先度のみがア ク テ ィ ブにな っ てい る ケース を説明 し てい ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
119
第 3 章 : コ ア を使用するデザイ ン
X-Ref Target - Figure 3-38
5;3)&IUDPH
3)&IUDPH^3ULRULW\HQDEOHILHOG`
[
[
^4XDQWDILHOG`
[
[
U[BSIFBSBWYDOLG
U[BSIFBSBWUHDG\
3TXDQWDBFRXQW
[
[))
[
[)
[(
[
[))
[)(
[
[
[
図 3‐38 : RX PFC フ レーム受信
新 し い PFC フ レームが優先量子が イ ネーブルにな っ ていてゼ ロ に設定 さ れてい る 場合は常に、こ の特定優先キ ュ ーの
送信を再開 さ せ る ために、 対応す る m_axis_rx_pfc_p[0-7]_tvalid がすぐ にデ ィ アサー ト にな り ます。
受信 さ れた PFC フ レ ーム が処理 さ れてい る ので、 こ れを破棄す る べ き であ る こ と を示すため、 m_axis_rx_tuser
がデ ィ アサー ト にな っ た状態で、 ク ラ イ ア ン ト に渡 さ れます。 フ レームは統計ベ ク タ ーお よ びカ ウ ン タ ーで も 有効で
あ る と みな さ れます。
注記 : 長 さ / タ イ プ フ ィ ール ド に MAC 制御 タ イ プが含ま れてい る フ レームはすべて、 レ シーバーの ク ラ イ ア ン ト ロ
ジ ッ ク で破棄す る 必要があ り ます。 すべての制御フ レームは rx_statistics_vector の ビ ッ ト 20 で示 さ れます (
「受信統計ベ ク タ ー」 を参照)。
一旦停止 リ ク エ ス ト への ク ラ イ ア ン ト から の応答
最大限に柔軟性を持たせ る ため、フ ロ ー制御 ロ ジ ッ ク を コ アでデ ィ ス エーブルに し (「10G Ethernet MAC コ ン フ ィ ギ ュ
レーシ ョ ン レ ジ ス タ 」 を参照)、 代わ り に コ アに接続 さ れた ク ラ イ ア ン ト ロ ジ ッ ク に イ ンプ リ メ ン ト す る こ と がで き
ます。 ど の タ イ プのエ ラ ーのない制御フ レーム も m_axis_rx_tuser 信号がアサー ト さ れた状態で、 コ アに渡 さ れ
ます。 こ う し て、 処理用に フ レームが ク ラ イ ア ン ト に渡 さ れます。 こ れを受けて、 ク ラ イ ア ン ト は こ の制御フ レーム
を破棄 し 、 必要であれば該当す る 優先度のあ る フ レームの コ アへの送信を停止 し て フ レーム を処理 し ます。
PFC イ ン プ リ メ ン テーシ ョ ン例
こ のセ ク シ ョ ンでは PFC イ ンプ リ メ ン テーシ ョ ンの簡単な例を説明 し ます。
図 3-35 では、 左側の イ ーサネ ッ ト MAC に接続 さ れてい る ク ラ イ ア ン ト ロ ジ ッ ク が、 あ る 一定期間、 RX 優先度 0
FIFO でデー タ を処理で き ない状態を示 し てい ます。やがて、こ の RX 優先度 0 FIFO はいっぱいにな り オーバーフ ロ ー
にな り ます。 こ こ では、 フ レーム が破棄 さ れない よ う に優先度 0 の ト ラ フ ィ ッ ク だけにプ レ ッ シ ャ ーを かけなが ら 、
優先度 0 以外のキ ュ ーを その ま ま処理 し 続け る と い う 、 PFC を イ ンプ リ メ ン ト し ます。
方法
1.
RX 優先度 0 FIFO の容量レベルを選択 し ます ( こ の例では容量の 7/8 です)。FIFO が こ の レベルを超え る と 、XOFF
リ ク エ ス ト 信号を アサー ト し 、優先度 0 が イ ネーブルで、こ の優先度 0 の pause_quantum の期間が 0xFFFF にな っ
てい る 、 PFC フ レーム を開始 し ます (0xFFFF は優先度 0 量子レ ジ ス タ のデフ ォ ル ト 値)。 こ れは一旦停止期間の
最大値です。 こ れに よ り 、 左側に あ る MAC が PFC フ レ ー ム を 送信 し ま す。 こ れ を 受 け て、 右側の MAC は
m_axis_rx_pfc_p0_tvalid を アサー ト し て、右側の TX 優先度 0 FIFO が送信を停止す る よ う リ ク エ ス ト し ま
す。 左側の MAC の ク ラ イ ア ン ト ロ ジ ッ ク が引 き 続 き RX 優先度 0 FIFO を使用で き ない場合は、 0xFF00 (優先度
0 リ フ レ ッ シ ュ のデフ ォ ル ト 値) 量子の有効期間が過ぎ る たびに、 つま り 前に送信 さ れた量子の有効期間が過ぎ
る たびに、 左側の イ ーサネ ッ ト MAC が自動的に PFC フ レーム を再送信 し ます。
2.
も う 1 つ、 RX 優先度 0 FIFO の容量レベルを選択 し ます ( こ の例では容量の 3/4 です)。 FIFO が こ の レベルを下回
る と 、 s_axis_tx_pfc_p0_tvalid 信号をデ ィ アサー ト し て、 XON リ ク エ ス ト を送信 し ます。 TX オー ト XON
機能が イ ネーブルにな っ てい る 場合は、 こ れで、 優先度 0 が イ ネーブルにな っ ていて、 優先度 0 量子が 0x0000
に設定 さ れてい る 状態の PFC フ レームが開始 し ます。 こ れは一旦停止期間がゼ ロ (XON) であ る こ と を示 し 、 こ
の PFC フ レーム を受信す る と 、 右側の MAC が m_axis_rx_pfc_p0_tvalid をデ ィ アサー ト し 、 右側の TX 優
先度 0 FIFO が送信を再開で き る よ う にな り ま す (最初に リ ク エ ス ト さ れていた量子の有効期間が経過す る の を
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
120
第 3 章 : コ ア を使用するデザイ ン
待たない)。 TX オー ト XON 機能が イ ネーブルにな っ ていない場合は、 PFC フ レームは送信 さ れず、 リ ク エ ス ト
さ れた量子の有効期間が経過す る ま では送信は再開 さ れません。
操作
図 3-39 は優先度 0 FIFO の容量レベルを経時で示 し ています (時間軸はシ ス テ ムに よ っ て左右 さ れる)。
X-Ref Target - Figure 3-39
)XOO
5;3ULRULW\),)22FFXSDQF\
&
%
'
(
$
7LPH
図 3‐39 : FIFO の容量レ ベルによ っ て変わる優先フ ロー制御のイ ン プ リ メ ン テーシ ョ ン
1.
FIFO の容量レベルは、 ク ラ イ ア ン ト ロ ジ ッ ク が フ レーム を処理で き る ため、 低い レベルに維持 さ れます。 A ポ
イ ン ト では、 ク ラ イ ア ン ト ロ ジ ッ ク は FIFO を処理で き ず、 容量がいっぱいにな っ てい き ます。 B ポ イ ン ト では、
FIFO は容量の 7/8 ま でい っぱいにな っ てい ます。 こ の と き 、 XOFF リ ク エ ス ト がアサー ト さ れ、 PFC フ レームは
生成 さ れて、 リ ク エ ス ト さ れた優先度 0 の ト ラ フ ィ ッ ク は停止 し ます。
2.
PFC フ レーム を受信す る と 、 す ぐ に右側の優先 0 FIFO が送信を停止 し ます。
3.
ク ラ イ ア ン ト ロ ジ ッ ク は引 き 続 き 優先 0 FIFO を一定期間処理で き ない ま ま であ り 、右側の優先 0 FIFO が再開 し
ない よ う にす る ため、 後続の PFC フ レームは C ポ イ ン ト で自動的に生成 さ れます。
4.
D ポ イ ン ト で ク ラ イ ア ン ト は優先 0 FIFO を処理 し 始め、 FIFO が空にな り ます。 E ポ イ ン ト で、 FIFO の容量レベ
ルは 3/4 に下が り ます。 こ れに よ り 、 XON PFC フ レーム リ ク エ ス ト が送信 さ れます。
5.
こ の PFC フ レーム を受信す る と 、 右側の MAC が m_axis_rx_pfc_p0_tvalid をデ ィ アサー ト し 、 優先度 0
FIFO が送信を再開 し ます。
6.
通常動作が再開 し ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
121
第 3 章 : コ ア を使用するデザイ ン
レ シーバー終端
Zynq-7000、 Virtex-7、 お よ び Kintex-7 デバ イ ス の レ シーバー終端を正 し く 設定す る 必要が あ り ま す。 詳細は、 『7 シ
リ ーズ ト ラ ン シーバー ユーザー ガ イ ド 』 (UG476) [参照 10] を参照 し て く だ さ い。UltraScale アーキ テ ク チ ャ の場合は、
『UltraScale アーキ テ ク チ ャ GTH ト ラ ン シーバー ユーザー ガ イ ド 』 (UG576) [参照 11] を参照 し て く だ さ い。
特別なデザイ ンに関する注意事項
こ のセ ク シ ョ ンでは、 特定のデザ イ ン ケース に適用 さ れ る 注意事項について説明 し ます。
複数の コ ア イ ン ス タ ン スの接続 (IEEE 1588 サポー ト な し )
コ ア と 一緒に提供 さ れてい る サンプル デザ イ ンは 1 つの コ アの使用を示 し てい ますが、 サンプル デザ イ ン と コ ア サ
ポー ト レ イ ヤー コ ー ド を使用 し て、 複数 イ ン ス タ ン ス の コ ア を使用 し た コ ア を作成す る こ と が可能です。 こ の コ ア
サポー ト レ イ ヤーを使用す る と 、 複数の コ ア を簡単に統合す る こ と がで き ます。 コ ア サポー ト レ イ ヤーの中では、
ブ ロ ッ ク レベルの コ ア イ ン ス タ ン ス を複製す る こ と が可能で、 それぞれの イ ン ス タ ン ス に、 ト ラ ン シーバー、 ク ロ ッ
ク 、 お よ び リ セ ッ ト ロ ジ ッ ク が含まれます。 コ ア サポー ト レ イ ヤーの ロ ジ ッ ク のほかの部分は、 複数の コ ア間で共
有す る こ と がで き ます。
GT 共通ブ ロ ッ ク は、 相対的な配置に よ っ て変わ り ますが、 最高 4 つの ト ラ ン シーバーに基準 ク ロ ッ ク を供給す る の
に使用で き ます。 共有の ク ロ ッ ク お よ び リ セ ッ ト ブ ロ ッ ク も 、 同様に複数の コ ア間で共有で き ます。 複数 コ アがその
ク ロ ッ ク を共有す る 場合は、 1 つの txclk322 信号だけ を、 1 つの コ ア イ ン ス タ ン ス か ら 共有の ク ロ ッ ク お よ び リ セ ッ
ト ブ ロ ッ ク を接続する 必要があ り ます。 それ以外の コ アか ら の txclk322 出力は接続す る 必要はあ り ません。
複数の コ ア イ ン ス タ ン ス を使用 し たデザ イ ン を作成する 場合、正 し いア イ テ ム を複製 し 、 共有すべ き ア イ テ ム を複製
し ない よ う に注意す る 必要があ り ます。 コ ア サポー ト レ イ ヤーには、 1 つの resetdone 信号を作成す る ために同期化
し た TX お よ び RX のresetdone 信号を ま と め る ロ ジ ッ ク があ り ます。 複数の コ ア イ ン ス タ ン ス が必要な場合、 各 コ ア
か ら の同期化 し た TX お よ び RX resetdone 信号を、 ま と め ら れた信号に含め る 必要があ り ます。
コ アの PMA リ セ ッ ト は、 ト ラ ン シーバーに gttxreset お よ び gtrxreset 信号を出力 し ます。 gttxreset が ト ラ
ン シーバーへ出力 さ れ る と 、 txresetdone が Low にな り 、 ト ラ ン シーバーか ら の txclk322 出力は失われます。 こ
れは、 txclk322 が共有 さ れてい る すべての コ アに影響 し ます。
Zynq‐7000、 Virtex‐7、 Kintex‐7 デバイ ス、 お よび UltraScale アーキテ ク チ ャ
複数の コ アが必要な場合、 [Include Shared Logic in core] を選択 し て コ ア を 1 つ生成 し 、 さ ら に [Include Shared Logic in
example design] を選択 し て最大 3 つの コ ア を生成す る 必要があ り ます。
[Include Shared Logic in core] を選択 し て生成 し た イ ン ス タ ン ス、 つま り 図 3-40 の 「axi_10g_ethernet_0」 と い う 名前の
イ ン ス タ ン ス を使用 し て、 ほかの コ ア イ ン ス タ ン ス (図 3-40 の axi_10g_ethernet_1 お よ び axi_10g_ethernet_2) で必要
な ク ロ ッ ク お よ び制御信号を供給す る こ と がで き ます。
図 3-40 は Vivado IP イ ン テ グ レー タ ーの ス ク リ ーン シ ョ ッ ト ですが、 こ れは、GTHE2 7 シ リ ーズ ト ラ ン シーバーを使
用 し た と き の 3 つの コ アの間の共有 ロ ジ ッ ク の接続を示 し てい ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
122
第 3 章 : コ ア を使用するデザイ ン
X-Ref Target - Figure 3-40
図 3‐40 : 共有ロ ジ ッ ク機能を使用 し た複数コ アの GT_QUAD タ イルへの接続
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
123
第 3 章 : コ ア を使用するデザイ ン
管理イ ン タ ー フ ェ イ ス を使用 し た ト レーニ ン グおよびオー ト ネ
ゴ シ エーシ ョ ンの使用
こ のセ ク シ ョ ンは、 Virtex®-7 デバ イ スお よ び UltraScale™ アーキ テ ク チ ャ を対象に し てい ます。
オプシ ョ ンの管理 イ ン タ ーフ ェ イ ス と 、 オプシ ョ ンのオー ト ネ ゴ シエーシ ョ ン ブ ロ ッ ク を使用 し て Base KR コ ア を
作成す る 場合、 リ ン ク で コ ア を確立 さ せ る と き に追加手順を踏む必要があ り ます。
まず、 ト レーニ ン グ をデ ィ ス エーブルにす る ため MDIO レ ジ ス タ に書 き 込み し (レ ジ ス タ ビ ッ ト 1.150.1)、 それか ら
ト レーニ ン グ再開始ビ ッ ト を設定 し ます (レ ジ ス タ ビ ッ ト は 1.150.0 で、 セルフ ク リ ア し ます)。
次に、 コ アか ら の core_status[5] 出力か、 ま たはレ ジ ス タ ビ ッ ト 7.1.2 (Low に ラ ッ チ し て、 読み出 し で ク リ ア) のいず
れか を監視 し て、 AN_GOOD_CHECK ス テー ト で設定 さ れ る AN リ ン ク ア ッ プ を待ち ます。 それか ら 、 ト レーニ ン グ
を イ ネーブルに し (1.150.1)、 そのす ぐ後に ト レーニ ン グ を再開始 し ます (1.150.0)。
オー ト ネ ゴ シエーシ ョ ン も 完了 し 、 AN 完了を設定す る よ う にす る には、 ト レーニ ン グは 500ms 以内に完了す る 必要
があ り ます。 ト レーニ ン グ ブ ロ ッ ク は、 ト レーニ ン グ完了ス テー ト に達する と 、自動的にデ ィ ス エーブルにな り ます。
推奨 : Training Done ビ ッ ト を レ ジ ス タ ビ ッ ト 1.65520.15 に設定する こ と を推奨 し ます。 こ れに よ り コ アが遠端デバ イ
ス を ト レ イ ン し よ う と す る こ と はな く な り ますが、 遠端デバ イ ス に よ っ て コ アが ト レ イ ン さ れ る こ と は可能だか ら で
す。
オー ト ネ ゴ シエーシ ョ ン で許可 さ れてい る 時間内に ト レーニ ン グが完了 し ない場合は、 オー ト ネ ゴ シエーシ ョ ンが
プ ロ セ ス を再開で き る よ う に、 手動で ト レーニ ン グ をデ ィ ス エーブルに し (レ ジ ス タ 1.150.1)、 ト レーニ ン グ を再開す
る (1.150.0) 必要があ り ます。
非管理イ ン タ ー フ ェ イ ス を使用 し た ト レーニ ングおよびオー ト ネゴ シ エーシ ョ ンの使用 こ のセ ク シ ョ ンは、 Virtex-7 デバ イ スお よ び UltraScale アーキ テ ク チ ャ を対象に し てい ます。
非管理 イ ン タ ーフ ェ イ ス を使用 し て Base KR コ ア を作成す る 場合は、 ブ ロ ッ ク レベルの ロ ジ ッ ク を使用 し て、 オー
ト ネ ゴ シエーシ ョ ン と ト レーニ ン グ と の関係を制御する こ と がで き ます。
オー ト ネ ゴ シエーシ ョ ンが コ アに含め ら れていない場合、 ま たは コ アに含ま れていて AN リ ン ク ア ッ プに達す る 場
合は、 ト レ ーニ ン グ ブ ロ ッ ク は自動的に イ ネーブルにな り ( ト レ ーニ ン グ を イ ネーブルにす る ための コ ン フ ィ ギ ュ
レーシ ョ ン ベ ク タ ー ビ ッ ト 33 も 設定 さ れてい る 場合)、 再開 し ます。 オー ト ネ ゴ シエーシ ョ ン を再開 さ せ る 必要が
あ る 場合は、 オー ト ネ ゴ シエーシ ョ ンが再び AN リ ン ク ア ッ プに到達す る ま で ト レーニ ン グは自動的にデ ィ ス エー
ブルにな り ます。
コ ン フ ィ ギ ュ レーシ ョ ン ベ ク タ ー ビ ッ ト 33 を使用 し て ト レーニ ン グがデ ィ ス エーブルにな っ てい る 場合は、 ト レー
ニ ン グが実行 さ れ る こ と はあ り ません。 オー ト ネ ゴ シエーシ ョ ンが コ アに含まれていない場合、 ま たは コ ン フ ィ ギ ュ
レーシ ョ ン ベ ク タ ー ビ ッ ト 284 に よ っ てデ ィ ス エーブルにな っ てい る 場合は、 プ ロ セ ス を駆動す る よ う に コ ン フ ィ
ギ ュ レーシ ョ ン ビ ッ ト をプ ロ グ ラ ムす る こ と に よ り 、 ト レーニ ン グ を使用す る こ と がで き ます。
オー ト ネゴ シ エーシ ョ ン を使用 し た コ アの FEC
重要 : FEC 機能が、 別の 10GBaseKR デバ イ ス か ら のオー ト ネ ゴ シエーシ ョ ン (ベー ス ページ機能) で認識 さ れてい
て、 FEC が遠端デバ イ ス か ら リ ク エ ス ト さ れてい る 場合、 コ アの FEC は自動的には イ ネーブルにな り ま せん。 FEC
を イ ネーブルにす る か ど う かはユーザーの判断に よ り ます。
MDIO イ ン タ ーフ ェ イ ス がない場合は、 FEC リ ク エ ス ト ビ ッ ト は レ ジ ス タ ビ ッ ト 7.21.15、 ま たは ス テー タ ス ベ ク
タ ー ビ ッ ト 383 にあ り ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
124
第 4章
デザイ ン フ ロー手順
こ の章では、 コ アのカ ス タ マ イ ズ と 生成、 制約、 お よ びシ ミ ュ レーシ ョ ン/合成/ イ ンプ リ メ ン テーシ ョ ンの手順につ
いて説明 し ます。一般的な IP イ ン テ グ レー タ ーの Vivado® デザ イ ン フ ロ ーについては、次の Vivado Design Suite ユー
ザー ガ イ ド を参照 し て く だ さ い。
•
『Vivado Design Suite ユーザー ガ イ ド : IP イ ン テ グ レー タ ーを使用 し た IP サブシ ス テ ムの設計』 (UG994) [参照 3]
•
『Vivado Design Suite ユーザー ガ イ ド : IP を使用 し た設計』 (UG896) [参照 4]
•
『Vivado Design Suite ユーザー ガ イ ド : 入門』 (UG910)[参照 5]
•
『Vivado Design Suite ユーザー ガ イ ド : ロ ジ ッ ク シ ミ ュ レーシ ョ ン』 (UG900) [参照 6]
コ アのカ ス タ マ イ ズおよび生成
こ のセ ク シ ョ ン では、 コ アの生成お よ びカ ス タ マ イ ズにあ た っ ての Vivado® Design Suite 使用方法について説明 し ま
す。
Vivado IP イ ン テ グ レー タ ーで コ ア を カ ス タ マ イ ズお よ び生成す る 場合は、 『Vivado Design Suite ユーザー ガ イ ド : IP
イ ン テ グ レー タ ーを使用 し た IP サブシ ス テ ムの設計』 (UG994) [参照 3] を参照 し て く だ さ い。IP イ ン テ グ レー タ ーは、
デザ イ ンの検証ま たは生成時に一部の コ ン フ ィ ギ ュ レーシ ョ ン値を自動的に計算す る 場合があ り ます。 値が変わ る か
ど う か を確認す る には、 本章のパ ラ メ ー タ ーの説明を参照 し て く だ さ い。 パ ラ メ ー タ ー値を確認す る には、 Tcl コ ン
ソ ールか ら validate_bd_design コ マ ン ド を実行 し て く だ さ い。
Vivado 統合設計環境 (IDE) では、 IP コ アに関連付け ら れてい る さ ま ざ ま なパ ラ メ ー タ ーの値を指定 し 、 デザ イ ン で使
用す る ために IP を カ ス タ マ イ ズで き ます。 カ ス タ マ イ ズは次の手順に従っ て行い ます。
1.
IP カ タ ロ グか ら IP を選択 し ます。
2.
選択 し た IP を ダブル ク リ ッ ク す る か、 ツールバーま たは右 ク リ ッ ク メ ニ ュ ーで、 [Customize IP] コ マ ン ド を選択
し ます。
詳細は、『Vivado Design Suite ユーザー ガ イ ド : IP を使用 し た設計』 (UG896) [参照 4] お よ び 『Vivado Design Suite ユー
ザー ガ イ ド : 入門』 (UG910) [参照 5] を参照 し て く だ さ い。
注記 : こ の章の図には Vivado IDE の ス ク リ ーン シ ョ ッ ト が使用 さ れてい ますが、現在のバージ ョ ン と はレ イ ア ウ ト が
異な る 場合があ り ます。
コ アのカ ス タ マ イ ズ GUI は 4 つの タ ブに分かれてい ます。
•
「MAC コ ン フ ィ ギ ュ レーシ ョ ン」
•
「PCS/PMA コ ン フ ィ ギ ュ レーシ ョ ン」
•
「IEEE 1588 コ ン フ ィ ギ ュ レーシ ョ ン」
•
「共有 ロ ジ ッ ク 」
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
125
第 4 章 : デザイ ン フ ロー手順
MAC コ ン フ ィ ギ ュ レーシ ョ ン
X-Ref Target - Figure 4-1
図 4‐1 : MAC カ ス タ マ イ ズ オプ シ ョ ン
[Component Name]
コ ン ポーネ ン ト 名は、 コ アに対 し て生成 さ れた出力フ ァ イ ルの基本名 と し て使用 し ます。 コ ン ポーネ ン ト 名は必ずア
ルフ ァ ベ ッ ト か ら 始め、 2 文字目以降は a ~ z、 0 ~ 9、 ア ン ダース コ ア (_) を組み合わせて指定 し ます。
[Management Interface]
生成 さ れた コ アに管理 イ ン タ ーフ ェ イ ス を含め る 場合に こ のオプシ ョ ン を選択 し ます。 PCS/PMA は MDIO イ ン タ ー
フ ェ イ ス を介 し て コ ン フ ィ ギ ュ レー ト さ れます。 管理 イ ン タ ーフ ェ イ ス を削除 し 、 MAC お よ び PCS/PMA を管理す る
ためにシ ンプルな ビ ッ ト ベ ク タ ーを使用する 場合は、 こ のオプシ ョ ン を オ フ に し ます。 デフ ォ ル ト では管理 イ ン タ ー
フ ェ イ ス が含まれ る よ う にな っ てい ます。
[Statistics Gathering]
生成 さ れた コ アに統計カ ウ ン タ ーを含め る か ど う かを こ のチ ェ ッ ク ボ ッ ク ス で選択 し ます。[Management Interface] が
選択 さ れてい る 場合にのみ、 こ のオプシ ョ ンが使用で き ます。 デフ ォ ル ト では統計カ ウ ン タ ーが含まれ る よ う にな っ
てい ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
126
第 4 章 : デザイ ン フ ロー手順
[Flow Control Options]
コ アに優先フ ロ ー制御サポー ト を含め る 場合に こ のオプシ ョ ン を オンに し ます。 こ のオプシ ョ ンがオンにな っ てい る
場合、 送信で PFC フ レーム を生成 し 、 受信で PFC フ レーム を処理す る 回路が含ま れ、 ま た、 IEEE 802.3 一旦停止 リ
ク エ ス ト の XON/XOFF サポー ト も 含まれ る よ う にな り ます。 デフ ォ ル ト では こ れはオ フ にな っ てい ます。
PCS/PMA コ ン フ ィ ギ ュ レーシ ョ ン
X-Ref Target - Figure 4-2
図 4‐2 : PHY カ ス タ マ イ ズ オプ シ ョ ン
[Transceiver RefClk]
[Transceiver RefClk] ド ロ ッ プ ダ ウ ン リ ス ト を 使用 し 、 ト ラ ン シ ー バ ー に ク ロ ッ ク を 供給す る の に 使用 さ れ る
IBUFDS_GTE3 の相対位置を まず選択 し ます。た と えば、ト ラ ン シーバー自体を含む ク ワ ッ ド の上にあ る 2 つの ク ワ ッ
ド の GT_QUAD の IBUFDS_GTE3 ブ ロ ッ ク か ら 供給 さ れ る refclk0 信号を使用す る には、 [refclk0+2] を選択 し ます。
[Transceiver Location]
ト ラ ン シーバーを選択す る には、 [Transceiver Location] ド ロ ッ プダ ウ ン リ ス ト を使用 し ます。 こ の リ ス ト に含まれ る
項目は、 ど の基準 ク ロ ッ ク を選択 し てい る かに よ っ て変わ り ます。 た と えば、 ト ラ ン シーバーを含む ク ワ ッ ド の下に
あ る 2 ク ワ ッ ド か ら 基準 ク ロ ッ ク を使用す る と 指定 し た場合、 一番下の 2 ク ワ ッ ド の さ ら に下に 2 ク ワ ッ ド 存在す る
こ と はあ り え ないので、 そ こ か ら は基準 ク ロ ッ ク を得 る こ と はで き ず、 それを選択す る こ と はで き ません。
コ ア イ ン タ ー フ ェ イ ス の一部の追加 ト ラ ン シーバー ポー ト を 使用す る 場合は、 [Additional Transceiver Control and
Status Ports] を選択 し ます。 詳細は 「 ト ラ ン シーバー デバ ッ グ ポー ト 」 を参照 し て く だ さ い。
コ ア イ ン タ ーフ ェ イ ス の一部の追加 DRP ポー ト を使用す る 場合は、[Additional DRP Control and Status Ports] を選択 し
ます。 詳細は 「DRP イ ン タ ーフ ェ イ ス ポー ト 」 を参照 し て く だ さ い。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
127
第 4 章 : デザイ ン フ ロー手順
10GBASE-KR コ ア に オ ー ト ネ ゴ シ エ ー シ ョ ン (AN) ブ ロ ッ ク を 含 め る に は、 [Auto Negotiation] を 選択 し ま す。
[BASE-KR] オプシ ョ ンがオンにな っ てい る と 、 こ れ も オンにな り ます。
10GBASE-KR コ アに FEC ブ ロ ッ ク を含め る には、 [FEC] を選択 し ます。 [BASE-KR] オプシ ョ ン がオン にな っ てい る
と 、 こ れ も オンにな り ます。
[BASE‐R] または [BASE‐KR]
PCS/PMA の 10GBASE-KR 機能を使用す る には、[BASE-KR] オプシ ョ ン を選択 し ます。タ ーゲ ッ ト デバ イ ス に GTHE、
GTHE3、 ま たは GTYE3 ト ラ ン シーバーが含まれてい る 場合にのみ こ のオプシ ョ ンは可能です。
[GT TYPE]
使用す る ト ラ ン シーバーに、 [GTHE3] ま たは [GTYE3] のいずれか を選択 し ます (両方の ト ラ ン シーバー タ イ プ を サ
ポー ト す る UltraScale デバ イ ス の場合)。
IEEE 1588 コ ン フ ィ ギ ュ レーシ ョ ン
X-Ref Target - Figure 4-3
図 4‐3 : IEEE 1588 カ ス タ マ イ ズ オプ シ ョ ン
IEEE 1588 サポー ト
こ の ラ ジオ ボ タ ンは、 タ イ ム ス タ ン プ挿入、 UDP/IP チ ェ ッ ク サ ム ア ッ プデー ト 、 お よ び イ ーサネ ッ ト CRC ア ッ プ
デー ト な ど、 コ アの送信側で 1 ス テ ッ プの タ イ ム ス タ ン プ を サポー ト す る ロ ジ ッ ク を含め る か ど う か を選択 し ます。
2 ス テ ッ プ サポー ト は常に含まれてい ます。
こ のオプシ ョ ンは、 次の コ ンデ ィ シ ョ ンが満た さ れてい る 場合にのみ使用可能です。
•
管理 イ ン タ ーフ ェ イ ス が イ ネーブルにな っ てい る 。
•
PCS/PMA が 10GBASE-R に設定 さ れてい る 。
•
プ ロ ジ ェ ク ト の タ ーゲ ッ ト デバ イ ス が 7 シ リ ーズ デバ イ ス であ る 。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
128
第 4 章 : デザイ ン フ ロー手順
1588 タ イ マー コ ン フ ィ ギ ュ レーシ ョ ン
[1588 Timer reference clock period in ps] に systemtimer_clk 信号の周期 (単位は ps) を入力 し ます。 コ アの ク ロ ッ ク
ド メ イ ンにシ ス テ ム タ イ マーを提供す る ロ ジ ッ ク を最適化する のに使用 さ れます。 こ のオプシ ョ ンは、 IEEE 1588 サ
ポー ト な し に設定 さ れてい る 場合にのみ使用可能です。
タ イ マー フ ォ ーマ ッ ト
こ の ラ ジオ ボ タ ンは、 ToD (Time-of-Day) タ イ マー と タ イ ム ス タ ンプ フ ォーマ ッ ト 、 ま たは訂正フ ィ ール ド タ イ マー
と タ イ ム ス タ ンプ フ ォーマ ッ ト のいずれか をサポー ト する ロ ジ ッ ク を含め る か ど う か を選択 し ます。こ のオプシ ョ ン
は、 IEEE 1588 サポー ト な し に設定 さ れてい る 場合にのみ使用可能です。
共有ロ ジ ッ ク
コ ア自体に共有 ロ ジ ッ ク を含めたい場合は、 [Include Shared Logic in Core] を選択 し ます (共有 ロ ジ ッ ク に よ り 生成 さ
れた信号は コ ア イ ン タ ーフ ェ イ ス で使用可能)。 そ う でない場合は、 サンプル デザ イ ン で共有 ロ ジ ッ ク は使用で き ま
す。 詳細は、 「共有 ロ ジ ッ ク 」 お よ び 「特別なデザ イ ンに関す る 注意事項」 を参照 し て く だ さ い。
出力生成
詳細は、 『Vivado Design Suite ユーザー ガ イ ド :IP を使用 し た設計』 (UG896) [参照 4] を参照 し て く だ さ い。
コ アへの制約
こ のセ ク シ ョ ンでは、 Vivado Design Suite 環境で コ アに制約を設定す る 方法について説明 し ます。
必要な制約
こ のセ ク シ ョ ンでは、 コ アの制約要件を説明 し ます。 AXI 10 Gigabit Ethernet コ アは階層コ アであ る ため、 イ ン フ ラ ス
ト ラ ク チ ャ コ アか ら タ イ ミ ン グ制約を使用で き ます。 コ アは自動的にサブ コ アか ら 制約を取得 し ます。
さ ら に、 コ アお よ びサンプル デザ イ ン を使用 し て、 次の XDC フ ァ イ ルが配布 さ れます。
•
<corename>_ooc.xdc
•
<corename>_example_design.xdc
最初の XDC はア ウ ト オブ コ ン テ キ ス ト (OOC) サポー ト 用で、 ラ ッ パーを使用 し ないで こ の コ ア を合成す る こ と が
可能です。 2 つ目の XDC はサンプル デザ イ ンでのみ使用 さ れ、 こ れを利用 し てユーザー デザ イ ンに制約を設定す る
こ と がで き ます。
デバイ ス、 パ ッ ケージ、 ス ピー ド グ レー ド の選択
コ アは、 サポー ト さ れてい る デバ イ ス、 パ ッ ケージお よ びス ピー ド グ レー ド の組み合わせに対 し てのみ生成す る こ と
がで き ます。 7 シ リ ーズ デバ イ ス の ス ピー ド グ レー ド -1 は こ の コ アではサポー ト さ れてい ません。
ク ロ ッ ク 周波数
AXI 10G イ ーサネ ッ ト ソ リ ュ ーシ ョ ンには ク ロ ッ ク がいつかあ り ますが、その周波数はパ ラ メ ー タ ー設定に よ っ て異
な り ます。 表 4-1 にはその要件が ま と め ら れてい ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
129
第 4 章 : デザイ ン フ ロー手順
表 4‐1 : コ ア ク ロ ッ ク
ク ロ ッ ク名
パラ メ ー タ ーの設定
周波数要件
s_axis_aclk
管理 タ イ プは AXI4-Lite に設定
10 か ら 300MHz ま で
dclk
常に存在
該当す る GT ト ラ ン シーバー ユーザー ガ イ ド で
使用可能な周波数範囲を確認
systemtimer_clk
10GBASE-R (1588 サポー ト )、
ToD (Time-of-Day) タ イ マー フ ォ ーマ ッ
ト を使用
10 か ら 500MHz ま で
correctiontimer_clk
10GBASE-R (1588 サポー ト )、
訂正 フ ィ ール ド タ イ マー フ ォ ーマ ッ ト
を使用
10 か ら 500MHz ま で
clk156
サンプル デザ イ ンの共有 ロ ジ ッ ク
156.25MHz
txusrclk、 txusrclk2
サンプル デザ イ ンの共有 ロ ジ ッ ク
10GBASE-R の場合は 312.25MHz
(UltraScale 使用)
その他の場合は 322.26MHz
rxrecclk
10GBASE-R (1588 サポー ト )
322.26MHz 出力 ク ロ ッ ク
その他すべての ク ロ ッ ク 周波数はサブ コ アで自動的に定義 さ れます。
ク ロ ッ ク 管理
ク ロ ッ ク マネージ メ ン ト タ イ ル (MMCM) は、 IEEE 1588 規格をサポー ト し てい る 場合にのみ必要です。 MMCM 配置
は使用 さ れてい る ト ラ ン シーバーの配置 と 互換性を持っ てい る 必要があ り ます。 詳細は、 該当す る ト ラ ン シーバーの
ト ラ ン シーバー ユーザー ガ イ ド を参照 し て く だ さ い。
ク ロ ッ ク 配置
基準 ク ロ ッ ク 配置は使用 さ れてい る ト ラ ン シーバーの配置 と 互換性を持っ てい る 必要があ り ます。 詳細は、 該当す る
ト ラ ン シーバーの ト ラ ン シーバー ユーザー ガ イ ド を参照 し て く だ さ い。
バン ク設定
こ のセ ク シ ョ ンは、 こ の IP コ アには適用 さ れません。
ト ラ ン シーバー配置
ト ラ ン シーバーには、 デザ イ ン に適 し た ロ ケーシ ョ ン制約を設定す る 必要があ り ます。 LOC 制約の例は、 サ ン プル
デザ イ ンの XDC フ ァ イ ルを参照 し て く だ さ い。
I/O 規格 と 配置
すべてのポー ト には使用 し てい る デザ イ ンに該当す る I/O 規格お よ び ロ ケーシ ョ ン制約を設定す る 必要があ り ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
130
第 4 章 : デザイ ン フ ロー手順
シ ミ ュ レーシ ョ ン
Vivado Design Suite シ ミ ュ レーシ ョ ン コ ン ポーネ ン ト について、 ま たサポー ト さ れてい る サー ド パーテ ィ ツールにつ
いては、 『Vivado Design Suite ユーザー ガ イ ド : ロ ジ ッ ク シ ミ ュ レーシ ョ ン』 (UG900[参照 6]) を参照 し て く だ さ い。
合成および イ ン プ リ メ ン テーシ ョ ン
合成お よ び イ ンプ リ メ ン テーシ ョ ンの詳細は、 『Vivado Design Suite ユーザー ガ イ ド :IP を使用 し た設計』 (UG896) [参
照 4] を参照 し て く だ さ い。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
131
第 5章
サン プル デザイ ンの詳細
こ の章では、 Vivado® Design Suite で提供 さ れてい る サンプル デザ イ ンについて、 特に フ ァ イ ル グループ、 サンプル
の HDL ラ ッ パーの内容、 デモ用テ ス ト ベンチの操作な ど について説明 し ます。
サ ン プル デザ イ ン には基本的な ス テー ト マ シ ン が含 ま れて い て、 AXI4-Lite イ ン タ ー フ ェ イ ス を 介 し て PCS/PMA
PHY お よ び MAC を使用 し 基本フ レーム伝送を行い ます。簡単な フ レーム ジ ェ ネ レー タ ーお よ びフ レーム チ ェ ッ カー
も 含まれていて、オプシ ョ ンでチ ェ ッ ク さ れ る 受信デー タ を使用 し て該当ボー ド をパケ ッ ト ジ ェ ネ レー タ ーに変え る
ために使用 さ れます。
操作モー ド には次の 3 つがあ り ます。
•
デフ ォ ル ト 操作では、 ジ ェ ネ レー タ ー モジ ュ ールで生成 さ れた フ レームが TX FIFO に挿入 さ れます。 デー タ は
シ リ アル リ ン ク で送信 さ れます。
•
FIFO 側のループバ ッ ク では、 RX FIFO か ら の フ レームが TX FIFO に挿入 さ れます。 デー タ はシ リ アル リ ン ク で
送信 さ れます。
•
PCS ループバ ッ ク では、 ジ ェ ネ レー タ ー モジ ュ ールで生成 さ れた フ レームが TX FIFO に挿入 さ れます。 デー タ
はシ リ アル リ ン ク にはあ り ません。
ス テー ト マシ ンは、簡単な入力制御信号を使用 し て基本的な制御が可能にな り 、 コ アでの コ ン フ ィ ギ ュ レーシ ョ ン を
変更す る こ と がで き ます。 デザ イ ン が該当ボー ド を タ ーゲ ッ ト に し てい る 場合、 プ ッ シ ュ ボ タ ン ま たは DIP ス イ ッ
チに接続で き る よ う に設計 さ れてい ます。
X-Ref Target - Figure 5-1
FRPSRQHQWBQDPH!BGHPRBWE
FRPSRQHQWBQDPH!BH[DPSOHBGHVLJQ
y/Ͳ>ŝƚĞůŽĐŬ
y/Ͳ>ŝƚĞůŽĐŬ
ŐĞŶĞƌĂƚŝŽŶ
ŐĞŶĞƌĂƚŝŽŶ
FRPSRQHQWBQDPH!BILIRBEORFN
ƚŚĞƌŶĞƚ&/&K
ƚŚĞƌŶĞƚ&/&K
WĂƚƚĞƌŶ
WĂƚƚĞƌŶ
ŐĞŶĞƌĂƚŽƌĂŶĚ
ŐĞŶĞƌĂƚŽƌĂŶĚ
ĐŚĞĐŬĞƌ
ĐŚĞĐŬĞƌ
dy&/&K
dy&/&K
Zy&/&K
Zy&/&K
y/ϭϬ'ƚŚĞƌŶĞƚ
y/Ͳ>ŝƚĞŽŶƚƌŽů
y/Ͳ>ŝƚĞŽŶƚƌŽů
^ƚĂƚĞDĂĐŚŝŶĞ
^ƚĂƚĞDĂĐŚŝŶĞ
図 5‐1 : サン プル デザイ ン
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
132
第 5 章 : サン プル デザイ ンの詳細
HDL サンプル デザ イ ンには次の も のが含まれてい ます。
•
AXI 10 ギガ ビ ッ ト イ ーサネ ッ ト ソ リ ュ ーシ ョ ンの イ ン ス タ ン ス
•
MMCM やグ ロ ーバル ク ロ ッ ク バ ッ フ ァ ー イ ン ス タ ン ス を含む ク ロ ッ ク マネージ メ ン ト ロ ジ ッ ク (必要な場合)
•
AXI4-Stream イ ン タ ーフ ェ イ ス のあ る ユーザー送信お よ び受信 FIFO
•
フ レーム ジ ェ ネ レー タ ー と フ レーム チ ェ ッ カー、 さ ら にオプシ ョ ンのループバ ッ ク ロ ジ ッ ク を含むユーザー 基
本パ タ ーン ジ ェ ネ レー タ ー モジ ュ ール
•
フ レーム伝送に PHY お よ び MAC を使用する ための簡単な ス テー ト マシ ン
•
本書で説明 さ れてい る よ う に、 シ ミ ュ レーシ ョ ン パ ッ ケージ を使用 し て、 ま たは該当ボー ド に配置 さ れてい る 場
合はハー ド ウ ェ ア上で、 コ アの機能をデモ実行す る こ と がで き る 基本ループ バ ッ ク 機能を HDL サンプル デザ イ
ンは提供 し ます。
イ ーサネ ッ ト FIFO
イ ーサネ ッ ト FIFO は次のフ ァ イ ルに記述 さ れてい ます。
•
<component_name>_xgmac_fifo.v
•
<component_name>_axi_fifo.v (RX お よ び TX FIFO のぞれぞれに対 し 2 回 イ ン ス タ ン シエー ト さ れ る )
イ ーサネ ッ ト FIFO には、 TX AXI4-Stream イ ン タ ーフ ェ イ ス に接続す る ための tx_client_fifo の イ ン ス タ ン ス、
お よ び RX AXI4-Stream イ ン タ ーフ ェ イ ス に接続す る ための rx_client_fifo の イ ン ス タ ン ス が含ま れてい ま す。
送受信両方の FIFIO コ ン ポーネ ン ト が AXI4-Stream ユーザー イ ン タ ーフ ェ イ ス を イ ン プ リ メ ン ト し ます。 こ の イ ン
タ ーフ ェ イ ス を介 し て フ レーム デー タ を送信お よ び受信で き ます。
RX FIFO
rx_client_fifo はデ ュ アル ポー ト 推論 さ れた RAM 周辺にビル ド さ れ、 最大 16384 バ イ ト の容量を持つ こ と が可
能です。 メ モ リ サ イ ズは、 ロ ーカル パ ラ メ ー タ ー FIFO_SIZE で設定 さ れ、 デフ ォ ル ト 値は 1024 です。 受信 FIFO は
コ ア か ら フ レ ー ム デー タ を 受信 し ま す。 フ レ ー ム にエ ラ ーが発生 し て い な い場合、 フ レ ー ム は読み出 し の た め、
AXI4-Stream FIFO イ ン タ ーフ ェ イ ス に出力 さ れます ( こ の場合フ レーム チ ェ ッ カー モジ ュ ールに よ っ て出力 さ れ る )。
フ レームにエ ラ ーが発生す る と 、 その フ レームは受信 FIFO で破棄 さ れます。
受信 FIFO メ モ リ がオーバーフ ロ ー し 、 現在受信 さ れてい る フ レームが不正であ る か ど う かにかかわ ら ず破棄 さ れ る
場合、 信号 rx_overflow がアサー ト さ れます。 メ モ リ がオーバーフ ロ ーする 状況には次の よ う な も のがあ り ます。
•
FIFO_SIZE パ ラ メ ー タ ーで FIFO サ イ ズが設定 さ れていて、 エ ラ ーな し に受信で き る フ レームのサ イ ズ を制限 さ
れてい る 場合。フ レームがバ イ ト で指定 さ れてい る パ ラ メ ー タ ー サ イ ズ よ り も 大 き い と 、FIFO はオーバーフ ロ ー
し 、 デー タ が失われます。 こ のため、 FIFO_SIZE パ ラ メ ー タ ーで指定 さ れてい る バ イ ト 数 よ り も 大 き な フ レーム
に対 し ては、 ジ ャ ン ボ フ レーム モー ド で MAC ソ リ ュ ーシ ョ ン をサンプル デザ イ ン と 一緒に使用 し ない よ う に
し て く だ さ い。
•
デー タ が読み出 さ れ る 速度 よ り も 速い速度で書 き 込まれてい る と 、 FIFO はやがてオーバーフ ロ ー し ます。 た と
えば、 ループバ ッ ク パ ス が RX FIFO か ら TX FIFO へ と 設定 さ れてい る 場合、 レ シーバー ク ロ ッ ク が ト ラ ン ス
ミ ッ タ ー ク ロ ッ ク よ り も 速い レー ト で実行 し てい る と 、 ま たは受信フ レームのパケ ッ ト 間隔が、 送信フ レームの
パケ ッ ト 間隔 よ り も 狭い と 、 オーバーフ ロ ーが発生 し ます。 こ の場合、 TX FIFO は、 受信 し てい る と き と 同 じ 速
度で RX FIFO か ら デー タ を読み出す こ と はで き ません。
TX FIFO
tx_client_fifo はデ ュ アル ポー ト 推論 さ れた RAM 周辺にビル ド さ れ、 最大 16384 バ イ ト の容量を持つ こ と が可
能です。 メ モ リ サ イ ズは、 ロ ーカル パ ラ メ ー タ ー FIFO_SIZE で設定 さ れ、 デフ ォ ル ト 値は 1024 です。 フ レーム全体
が 送 信 FIFO に 書 き 込 ま れ る と 、 FIFO は デ ー タ を MAC ト ラ ン ス ミ ッ タ ー に 出 力 し ま す。 MAC は
tx_axis_mac_tready を使用 し て、 媒体を コ ン ト ロ ールで き る ま でデー タ を ス ロ ッ ト ル さ せます。 FIFO メ モ リ が
い っ ぱ い に な る と 、 FIFO が 空 く ま で、 AXI4-Stream イ ン タ ー フ ェ イ ス の デー タ 書 き 込み を 停止 さ せ る た め に、
tx_axis_fifo_tready 信号が使用 さ れます。 FIFO メ モ リ がいっぱいにな る と 、 伝送で き る フ レームはな く な り ま
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
133
第 5 章 : サン プル デザイ ンの詳細
す。 た と えば、 パ ラ メ ー タ ーが設定 し てい る バ イ ト 数 よ り フ レーム が大 き い場合、 FIFO は tx_overflow 信号を ア
サー ト し 、接続 さ れてい る ロ ジ ッ ク か ら フ レームの残 り を受信 し ますが、こ の大き なオーバーフ ロ ー フ レームは FIFO
に よ り 破棄 さ れます。 こ のため、 AXI4-Stream FIFO イ ン タ ーフ ェ イ ス は ロ ッ ク し な く な り ます。
基本パ タ ーン ジ ェ ネ レー タ ー モ ジ ュ ール
基本パ タ ーン ジ ェ ネ レー タ ーは次のフ ァ イ ルに記述 さ れてい ます。
•
<component_name>_gen_check_wrapper.v
•
<component_name>_axi_pat_gen.v
•
<component_name>_axi_pat_check.v
•
<component_name>_axi_mux.v
•
<component_name>_address_swap.v
gen_check_wrapper には、 ジ ェ ネ レー タ ー と ループバ ッ ク と い う 2 つの機能モー ド があ り 、 こ れはサンプル デザ
イ ンの enable_pat_gen 信号入力に よ り 設定 さ れます。 ループバ ッ ク の場合、 RX FIFO か ら のデー タ はア ド レ ス ス
ワ ッ プ モジ ュ ールに渡 さ れ、 そ こ か ら TX FIFO に渡 さ れます。 ジ ェ ネ レー タ ー モー ド の場合は、 TX デー タ はパ タ ー
ン ジ ェ ネ レー タ ーに よ っ て供給 さ れ、 オプシ ョ ンで RX デー タ はパ タ ーン チ ェ ッ カーでチ ェ ッ ク さ れます。
ア ド レ ス スワ ッ プ
ア ド レ ス ス ワ ッ プ モジ ュ ールは、ループバ ッ ク パス上で使用する ためにオプシ ョ ンで イ ネーブルにで き ます。デフ ォ
ル ト ではア ド レ ス ス ワ ッ プ機能は使用 さ れません。 ループバ ッ ク モー ド では、 こ れが イ ネーブルにな っ てい る と 、デ
ス テ ィ ネーシ ョ ン ア ド レ ス (DA) お よ び ソ ース ア ド レ ス (SA) の両フ ィ ール ド が TX FIFO にデー タ を送信開始す る 前
に受信 さ れ る ま で、 ア ド レ ス ス ワ ッ プ モジ ュ ールは待機 し ます。 こ の後、 モジ ュ ールは各フ レームの DA と SA を入
れ替え ます。 こ れに よ り 、 出力フ レームの DA と リ ン ク パー ト ナーの SA が一致する よ う にな り ます。 こ のモジ ュ ー
ルがデ ィ ス エーブルにな っ てい る と 、 DA と SA フ ィ ール ド は入れ替え ら れずその ま ま にな り ます。
パ タ ーン ジ ェ ネ レー タ ー
パ タ ーン ジ ェ ネ レー タ ーは、 サ ン プル デザ イ ン の enable_pat_gen 信号入力を使用 し て イ ネーブルに し た り デ ィ
ス エーブルに し た り で き ま す。 イ ネーブルに な っ て い る 場合は、 RX FIFO か ら のデー タ は消去 さ れ、 pat_gen モ
ジ ュ ールが address_swap モジ ュ ール入力を駆動 し ます。 パ タ ーン ジ ェ ネ レー タ ーはベ ク タ ーを使用 し 、 デス テ ィ
ネーシ ョ ン ア ド レ スお よ び ソ ース ア ド レ ス のユーザーに よ る 変更、 カ ス タ ム プ リ ア ンブルお よ び VLAN フ ィ ール ド
の挿入、 さ ら に最小フ レーム サ イ ズ と 最大フ レーム サ イ ズの制御を行い ます。 パ タ ーン ジ ェ ネ レー タ ーが イ ネーブ
ルにな っ てい る 場合は、 設定 さ れてい る 最小フ レーム サ イ ズで始ま り 、 各フ レーム が送信 さ れ る たびに、 フ レーム
サ イ ズは最大値に達す る ま で増え てい き 、 最大値に達す る と ま た最小フ レーム サ イ ズに戻 り ます。 カ ス タ ム プ リ ア
ンブルお よ び VLAN フ ィ ール ド の挿入は、 サン プル デザ イ ン の入力を使用 し て直接制御 し ます。 該当ボー ド を タ ー
ゲ ッ ト に し てい る 場合、 こ れ ら の コ ン フ ィ ギ ュ レーシ ョ ン入力は DIP ス イ ッ チに接続する こ と がで き ます。
パ タ ーン ジ ェ ネ レー タ ーでは常にフ レームが次の よ う に生成 さ れます。
•
ユーザーのプ リ ア ンブル デー タ ( イ ネーブルの場合)、 DA、 SA、 VLAN フ ィ ール ド ( イ ネーブルの場合) の値は、
サンプル デザ イ ンでモジ ュ ールが イ ン ス タ ン シエー ト さ れ る と き に指定 さ れ る ベ ク タ ー値に よ り 設定 さ れます。
•
タ イ プ/長 さ フ ィ ール ド はパケ ッ ト サ イ ズに合わせて設定 さ れます。
•
フ レーム デー タ は、 タ イ プ/長 さ フ ィ ール ド の値か ら 開始 し 、 カ ウ ン ト ダ ウ ン し てい き ます。 つま り 、 すべての
フ レームの最終バ イ ト は 0x01ま たは 0x00 にな り ます。
ループバ ッ ク の場合 (2 番目の タ ーゲ ッ ト ボー ド をループバ ッ ク と し て使用す る 場合)、 2 つのボー ド のオシ レー タ ー
間の ppm の差に よ り 、 速度が遅いほ う のボー ド でオーバーフ ロ ーが発生 し 、 エ ラ ーにな る 可能性があ り ます。 こ の状
態は、 速度が遅いほ う のボー ド がループバ ッ ク ボー ド と し て使用 さ れてい る 場合に通常は見 ら れます。 こ の問題を避
け る には、 パ タ ーン ジ ェ ネ レー タ ーで指定 さ れてい る デー タ レー ト を選択 さ れてい る ラ イ ン レー ト のやや下にな る
よ う に ス ロ ッ ト ル さ せます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
134
第 5 章 : サン プル デザイ ンの詳細
pat_gen モジ ュ ールには、 サ ン プル デザ イ ン の insert_error 信号入力に よ り 直接制御 さ れ る 、 エ ラ ー挿入機能
があ り ます (該当ボー ド のプ ッ シ ュ ボ タ ンに接続する こ と が可能)。
パ タ ーン ジ ェ ネ レー タ ー も 簡単な ア ク テ ィ ビ テ ィ 監視機能を提供 し てい ます。 こ れは、 デー タ が送信 さ れてい る こ と
を示すために、 該当ボー ド の LED と し て フ ラ ッ シ ュ に接続す る こ と がで き る gen_active_flash 信号出力を ト グ
ル さ せます。
パ タ ーン チ ェ ッ カ ー
pat_check モ ジ ュ ールは、 デー タ が正 し く 受信 さ れ て い る こ と を 簡単に確認す る チ ェ ッ ク 機能 を 提供 し ま す。
pat_gen モジ ュ ール と 同 じ 入力デー タ お よ び制御ベ ク タ ーを使用する ので、 フ レームの内容 も フ レーム サ イ ズの増
分 も 同 じ であ る も の と し ます。 フ レーム デー タ の DA と SA を入れ替え る こ と が可能であ っ た り 、 可能でなか っ た り
す る ため、 パ タ ーン チ ェ ッ カーではど ち ら の位置に も 両方の値が使用で き る よ う にな っ てい ます。
こ れが イ ネーブルにな っ てい る 場合は、 サ ン プル デザ イ ン の enable_pat_check 信号入力を使用 し て、 RX_FIFO
か ら の出力が監視 さ れます。 まず最初に、 フ レーム シーケ ン ス の ど こ にデー タ があ る のかを判定 し ます。 こ れは、 最
初の完了フ レームの タ イ プ/長 さ フ ィ ールの値を取 り 込む こ と で判定で き ます。 こ の後、後に続 く フ レームは徐々に大
き く な っ てい く はずです (折 り 返 し 地点にい る 場合を除 く )。
エ ラ ーが検出 さ れ る と 、 不一致が見 ら れたバ イ ト ま たは複数のバ イ ト に対 し てエ ラ ー フ ラ グが立ち ます。 そ し て、 エ
ラ ー コ ンデ ィ シ ョ ン がサ ン プル さ れ、 サ ン プル デザ イ ン のframe_error 信号出力に出力 さ れ ま す (該当ボー ド の
LED を使用 し て表示可能)。 こ の後、 パ タ ーン チ ェ ッ カーの ス テー ト マシ ンは入力デー タ に再同期化 し ます。 サンプ
ル デザ イ ン のreset_error 信号入力がアサー ト さ れてい る 場合は、 こ の信号が frame_error 信号を ク リ アに し
て、 お よ そのエ ラ ー頻度を調べ る こ と がで き ます (適宜)。
パ タ ーン チ ェ ッ カー も 簡単な ア ク テ ィ ビ テ ィ 監視機能を提供 し てい ます。 こ れは、 該当ボー ド の LED を点滅 さ せ る
のに使用可能な、 サ ン プル デザ イ ンの check_active_flash 専用出力を ト グル さ せます。 こ れで RX デー タ が正
し く 受信 さ れてい る こ と を示 し ます。 エ ラ ーが何 も 検出 さ れない こ と が、 すべての フ レームが破棄 さ れてい る か ら だ
けではない こ と を示 し てい ます。
AXI4‐Lite 制御ス テー ト マ シ ン
管理 イ ン タ ーフ ェ イ ス が イ ネーブルにな っ た状態で コ ア を生成す る 場合に存在す る AXI4-Lite ス テー ト マシ ンは、 基
本的な フ レーム伝送を行 う ため、 PHY お よ び MAC を初期化する ための基本ア ク セ ス を提供 し ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
135
第 5 章 : サン プル デザイ ンの詳細
X-Ref Target - Figure 5-2
67$57
(QDEOH0',2DQG
FRQILJXUH0'&
&RQILJXUH0$&
5;DQG7;
<
,V3&6VHWWR
ORRSEDFN"
1
0',2ZULWHWR
FRQILJXUH3+<WR
3&6ORRSEDFN
0',2ZULWHWR
FRQILJXUH3+<WR
GHIDXOW3&6PRGH
0',2UHDGWR
REWDLQFXUUHQW
3+<PRGH
<
(QDEOHSDWBJHQ
,V3&6VHWWR
ORRSEDFN"
1
0',2UHDG3+<
VWDWXVUHJLVWHU
1
,VEORFNORFN
HVWDEOLVKHG"
<
3+<FRQILJGRQH
(QDEOHSDWBJHQ
1
<
,V3&6VHWWR
ORRSEDFN"
図 5‐2 : AXI4‐Lite ス テー ト マシ ン
図 5-2 は、 ス テー ト マシ ン が実行す る ア ク セ ス を示 し てい ま す。 リ セ ッ ト の後、 内部 リ セ ッ ト が完了す る の を待っ
て、 ス テー ト マ シ ン は MAC に最初の書 き 込みを実行 し 、 MDIO を イ ネーブルに し て、 MDIO ク ロ ッ ク を コ ン フ ィ
ギ ュ レ ー ト し ま す ( こ れ は、 サ ン プ ル デザ イ ン お よ び demo_tb か ら デ フ ォ ル ト で 供給 さ れ る s_axi_aclk が
125MHz で実行 し てい る も の と 想定)。 こ の後に MAC の RX お よ び TX 側が コ ン フ ィ ギ ュ レー ト さ れます。 それか ら 、
サ ン プ ル デ ザ イ ン の pcs_loopback 信号入力 を 使用 し て、 PHY は、 PCS ル ー プ バ ッ ク (10GBASE-R ま た は
10GBASE-KR 内のデー タ ループバ ッ ク )、 ま たはデフ ォ ル ト の送信/受信モー ド と い う 2 つのモー ド に設定 さ れます。
該当す る PHY MDIO レ ジ ス タ への値がそれに従っ て書き 込まれます。 こ の後、 MDIO 読み出 し が ス テー タ ス レ ジ ス
タ で実行 さ れ、 プ ロ グ ラ ム さ れたモー ド を検証 し ます。 PCS ループバ ッ ク モー ド の場合は、 PHY コ ン フ ィ ギ ュ レー
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
136
第 5 章 : サン プル デザイ ンの詳細
シ ョ ン が こ の時点で完了 し 、 パ タ ーン ジ ェ ネ レー タ ーを イ ネーブルにす る こ と で フ レーム伝送を開始で き ます。 デ
フ ォ ル ト の送信/受信モー ド が選択 さ れてい る 場合は、 2 番目の ス テー タ ス レ ジ ス タ が読み出 さ れ、 PHY が リ セ ッ ト
か ら 回復 し 、 ブ ロ ッ ク ロ ッ ク が確立 さ れた か ど う か を 示 し ま す。 ブ ロ ッ ク ロ ッ ク が確立 さ れてい な い場合、 こ の
MDIO ス テー タ ス レ ジ ス タ は、 ブ ロ ッ ク ロ ッ ク が検出 さ れ る ま でポール さ れます。 確立 さ れ る と 、 PHY が コ ン フ ィ
ギ ュ レー ト さ れ、 パ タ ーン ジ ェ ネ レ ー タ ーを イ ネーブルにす る こ と に よ り 、 フ レ ーム伝送を開始で き ま す。 こ の ス
テー ト か ら 遷移す る には、 リ セ ッ ト 、 ま たは PCS ループバ ッ ク の イ ネーブルが必要にな り ます。
共有ロ ジ ッ ク および コ ア サポー ト レ イヤー
Vivado IDE で コ ア を カ ス タ マ イ ズす る と き に、 共有 ロ ジ ッ ク に対 し て ど のオプシ ョ ン を選択 し たかに よ り ますが、 コ
ア サポー ト レ イ ヤーはそれ自体が コ ア最上位にな る こ と が可能です ([Include Shared Logic in core] が選択 さ れてい る
場合)。 ま たは コ ア最上位を単に含め る だけ と い う 選択肢 も あ り ます ([Include Shared Logic in example design] が選択 さ
れてい る 場合)。
大 き な差はないのですが、 [Include Shared Logic in the core] を選択す る 場合は、 共有 ロ ジ ッ ク すべて を含んだ コ アが生
成 さ れ、 複数の 10GBaseR/KR IP コ アの間で共有で き る ク ロ ッ ク お よ び制御信号の出力があ り ます。
[Include Shared Logic in the Example Design] を選択す る 場合は、 共有 ロ ジ ッ ク にア ク セ スす る こ と がで き ます。
マルチ コ ア デザ イ ン では通常、 [Shared Logic included in the core] を選択 し て コ ア A を生成 し 、 も う 1 つのオプシ ョ ン
を設定 し て コ ア B を生成で き ます。 こ の場合、 コ ア A の 1 イ ン ス タ ン ス が、 コ ア B の複数 イ ン ス タ ン ス に ク ロ ッ ク
を供給 し ます。 詳細は、 「特別なデザ イ ンに関す る 注意事項」 を参照 し て く だ さ い。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
137
第 6章
テ ス ト ベン チ
こ の章では、 Vivado® Design Suite で提供 さ れてい る テ ス ト ベン チについて説明 し ます。 デモ用テ ス ト ベンチは次の
フ ァ イ ルで定義 さ れてい ます。
<component_name>_demo_tb.v
図 6-1 は コ アのテ ス ト ベンチのブ ロ ッ ク 図です。
X-Ref Target - Figure 6-1
7HVW%HQFK
'87
ϭϬ'^ͲZ
ϭϬ'^ͲZ
DŽŶŝƚŽƌ
DŽŶŝƚŽƌ
y/Ͳ^
^ƚŝŵƵůƵƐ
DK
y/ϭϬ'
ƚŚĞƌŶĞƚ
/^d
ϭϬ'^ͲZ
ϭϬ'^ͲZ
^ƚŝŵƵůƵƐ
^ƚŝŵƵůƵƐ
y/Ͳ^
DŽŶŝƚŽƌ
&ƌĂŵĞ
&ƌĂŵĞ
DŽŶŝƚŽƌ
DŽŶŝƚŽƌ
ůŽĐŬ
ůŽĐŬ
DĂŶĂŐĞŵĞŶƚ
DĂŶĂŐĞŵĞŶƚ
&ƌĂŵĞ
&ƌĂŵĞ
^ƚŝŵƵůƵƐ
^ƚŝŵƵůƵƐ
ŽŶƚƌŽůĂŶĚĂƚĂƐƚƌƵĐƚƵƌĞƐ
ŽŶƚƌŽůĂŶĚĂƚĂƐƚƌƵĐƚƵƌĞƐ
図 6‐1 : テ ス ト ベン チ
こ のデモ用テ ス ト ベンチは、 サンプル デザ イ ン と コ ア自体を動作 さ せ る こ と を目的 と し た簡単なプ ロ グ ラ ムです。操
作モー ド には、DEMO と BIST (Built-in Self Test) と い う 2 つのモー ド があ り 、DEMO がデフ ォ ル ト モー ド にな り ます。
テ ス ト ベンチには次の も のが含まれます。
•
ク ロ ッ ク ジ ェ ネ レー タ ー
•
DEMO - サンプル デザ イ ンのシ リ アル レ シーバーに接続す る ため、適切にエン コ ー ド お よ びス ク ラ ンブル さ れた
フ レーム ス テ ィ ミ ュ ラ ス ブ ロ ッ ク
•
DEMO - シ リ アル ト ラ ン ス ミ ッ タ ー イ ン タ ーフ ェ イ ス を介 し て返 さ れたデー タ を (適切なデス ク ラ ンブルお よ び
デ コ ー ド の後) チ ェ ッ ク す る ためのフ レーム監視ブ ロ ッ ク
•
ス テ ィ ミ ュ ラ スお よ び監視ブ ロ ッ ク の両方で使用 さ れ る CRC エン ジ ン (図にはない)
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
138
第 6 章 :snテ ス ト ベン チ
•
BIST - 簡単なループバ ッ ク パ ス (図にはない) が、シ リ アル ト ラ ン ス ミ ッ タ ー イ ン タ ーフ ェ イ ス か ら シ リ アル レ
シーバーま での接続に使用可能
DEMO モー ド
デモ用テ ス ト ベンチは次の タ ス ク を実行 し ます。
•
入力 ク ロ ッ ク 信号を生成
•
ア ド レ ス ス ワ ッ プ を イ ネーブル。 パ タ ーン ジ ェ ネ レー タ ーお よ びチ ェ ッ カーをデ ィ ス エーブル
•
AXI4-Stream イ ン タ ーフ ェ イ ス の送信パ スへ受信デー タ をループバ ッ ク
•
サンプル デザ イ ンに リ セ ッ ト を適用
•
PCS/PMA ブ ロ ッ ク ロ ッ ク が確立 さ れ る ま で待機
•
4 つの フ レーム を シ リ アル レ シーバー イ ン タ ーフ ェ イ ス に伝送
°
最初の フ レームの長 さ は最小値
°
2 番目の フ レームは タ イ プ フ レーム
°
3 番目の フ レームはエ ラ ーのあ る フ レーム
°
4 番目の フ レームはパ ッ ド が追加 さ れた フ レーム
•
各フ レームが レ シーバー イ ン タ ーフ ェ イ ス に伝送 さ れ る と 、CRC が該当フ レーム フ ィ ール ド に対 し て実行 さ れ、
フ レームの最後に追加 さ れ る
•
その後、 フ レームは 64b/66b にエン コ ー ド さ れ、 ス ク ラ ンブル さ れ る
•
シ リ アル ト ラ ン ス ミ ッ タ ー イ ン タ ーフ ェ イ ス で受信 さ れた フ レームはデス ク ラ ンブル さ れデ コ ー ド さ れ る
•
こ の後、 フ レームは監視プ ロ セ ス に渡 さ れ、 フ レームが監視 さ れてい る と き に CRC が計算 さ れ る 。 計算 さ れた
CRC 値を フ レームの最終 4 バ イ ト と 比較 し て、 CRC が有効か ど う かをチ ェ ッ ク 。
•
送信 さ れた フ レームがカ ウ ン ト さ れ る
BIST モー ド
デモ用テ ス ト ベンチは次の タ ス ク を実行 し ます。
•
入力 ク ロ ッ ク 信号を生成
•
サンプル デザ イ ンに リ セ ッ ト を適用
•
PCS/PMA ブ ロ ッ ク ロ ッ ク が確立 さ れ る ま で待機
•
パ タ ーン ジ ェ ネ レー タ ーお よ びチ ェ ッ カーを イ ネーブル
•
シ リ アル ト ラ ン ス ミ ッ タ ー イ ン タ ーフ ェ イ ス で受信 さ れた フ レームはデス ク ラ ンブル さ れデ コ ー ド さ れ る
•
こ の後、 フ レームは監視プ ロ セ ス に渡 さ れ、 フ レームが監視 さ れてい る と き に CRC が計算 さ れ る 。 計算 さ れた
CRC 値を フ レームの最終 4 バ イ ト と 比較 し て、 CRC の有効性をチ ェ ッ ク 。
•
送信 さ れた フ レームがカ ウ ン ト さ れ る
•
送信 さ れた フ レームが コ アの レ シーバー パ ス にループバ ッ ク さ れ る よ う 、 シ リ アル レ シーバー リ ン ク が ト ラ ン
ス ミ ッ タ ー リ ン ク に接続 さ れ る
•
RX FIFO か ら 読み出 さ れた フ レームがサンプル デザ イ ン内のチ ェ ッ カー モジ ュ ールに よ り チ ェ ッ ク さ れ る 。
チ ェ ッ カー モジ ュ ールが検出す る エ ラ ーはテ ス ト ベンチが監視
テ ス ト ベン チの変更
デモ用テ ス ト ベンチはすべての イ ンプ リ メ ン テーシ ョ ンに対 し デフ ォ ル ト で DEMO モー ド にな り ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
139
第 6 章 :snテ ス ト ベン チ
モー ド は、 <component_name>_demo_tb.v にあ る TB_MODE パ ラ メ ー タ ーを使用 し て設定 さ れます。 モー ド を変
更す る には、 こ のパ ラ メ ー タ ー値を BIST に変更 し ます。
DEMO モー ド での フ レーム デー タ の変更
シ リ ア ル レ シーバーに渡 さ れ る フ レ ー ム デー タ の内容は、 テ ス ト ベ ン チで定義 さ れ て い る 各 フ レ ー ム のデー タ
フ ィ ール ド を編集す る と 変更で き ます。 テ ス ト ベンチは自動的に MAC に渡す新 し い FCS フ ィ ール ド を計算 し ます。
デー タ の新 し い フ レーム を定義す る こ と に よ り 、 さ ら に フ レーム を追加で き ます。
フ レームの長 さ の変更
ス テ ィ ミ ュ ラ ス フ レームの長 さ を変更する には 2 と お り の方法があ り ます。テ ス ト ベンチ内のあ ら か じ め定義 さ れて
い る フ レーム を直接編集 し 、 フ レームの内容お よ びサ イ ズ を変更す る と い う のが まず 1 つの方法です。 も う 1 つは、
あ ら か じ め定義 さ れてい る フ レーム内のデー タ ブ ロ ッ ク を指定回数繰 り 返す と い う 方法で、こ ち ら のほ う が手早 く 変
更がで き ます。 繰 り 返 し 可能なデー タ ブ ロ ッ ク の範囲は、 TYPE/LENGTH フ ィ ール ド (TYPE/LENGTH フ ィ ール ド を
含む) か ら デー タ ペ イ ロ ー ド の XGMII 列の終わ り ま でです。 FRAME_GEN_MULTIPLIER は、 デー タ ブ ロ ッ ク の繰 り
返 し 回数を指定 し ます。
た と えば、 最終完了制御 (ctrl) 列の イ ンデ ッ ク ス (ctrl 列 [14] = 4'b0000 な ど) の場合、 ブ ロ ッ ク サ イ ズは (14 + 1) - 3 =
12 です。 つま り 、 12 x 4 = 48 バ イ ト が 1 ブ ロ ッ ク に含まれてい る と い う こ と にな り ます。 FRAME_GEN_MULTIPLIER
定数が2 に設定 さ れてい る 場合は、 2 x 12 x 4 = 96 バ イ ト が SA/DA の後に送信 さ れ、 同 じ 48 バ イ ト のブ ロ ッ ク の繰 り
返 し パ タ ーンが 2 回送信 さ れます。
定義 さ れてい る フ レームの TYPE/LENGTH で、 TYPE フ ィ ール ド ではな く LENGTH フ ィ ール ド が使用 さ れてい る 場
合、 LENGTH 値を手動で変更す る 必要があ り ます。 一般的には LENGTH/TYPE フ ィ ール ド は次の よ う にな り ます。
[[(最後に完了 し た ctrl 列の イ ンデ ッ ク ス +1) - 3] x4 x FRAME_GEN_MULTIPLIER] - 2 + (1、 2、 ま たは 3 - こ れは最終
完了 ctrl 列の後の ctrl 列の値に よ っ て変わ る )
乗算定数は RX に挿入 さ れ る すべての フ レ ーム に適用 さ れ る ため、 フ レ ーム が タ イ プ ま たは制御フ レ ーム でない限
り 、 すべての フ レームに対 し て L/T フ ィ ール ド を適切に設定す る 必要があ り ます。
フ レーム エ ラ ー ス テー タ スの変更
エ ラ ーはあ ら か じ め定義 さ れてい る フ レームの どれに も 挿入で き ますが、 こ の と き エ ラ ーを挿入す る フ レームの任意
列のエ ラ ー フ ィ ール ド を 1 に変更 し ま す。 3 番目の フ レ ーム に現在書 き 込 ま れてい る エ ラ ーは、 フ レ ーム のエ ラ ー
フ ィ ール ド を 0 に設定す る こ と で削除で き ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
140
付録 A
移行およびア ッ プグ レー ド
こ の付録には、 デザ イ ン を ISE® Design Suite か ら Vivado® Design Suite に移行す る 際の情報、 最新版の IP コ アへの
ア ッ プグ レー ド についての情報が記載 さ れてい ます。 Vivado Design Suite でア ッ プグ レー ド す る 場合のポー ト 変更お
よ びユーザー ロ ジ ッ ク への影響 と い っ た重要な情報 も こ こ に記載 さ れてい ます。
Vivado Design Suite への移行
Vivado Design Suite への移行方法については、 『Vivado Design Suite 移行手法ガ イ ド 』 (UG911)[参照 7] を参照 し て く だ
さ い。
Vivado Design Suite でのア ッ プグ レー ド
こ のセ ク シ ョ ンでは、 Vivado Design Suite で こ の IP コ アの最新版にア ッ プグ レー ド す る 際の、 ユーザー ロ ジ ッ ク お よ
びポー ト の変更について説明 し ます。
パラ メ ー タ ーの変更点 なし
ポー ト の変更点
追加 さ れたポー ト
表 A-1 には、 古いバージ ョ ンか ら ア ッ プグ レー ト し た場合の v2.0 の コ アで追加 さ れたポー ト が ま と め ら れてい ます。
こ れ ら のポー ト は、 新 し い共有 ロ ジ ッ ク をサポー ト し 、 ま た dclk 用に独立 ク ロ ッ ク す る ために追加 さ れま し た。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
141
付録 A : 移行およびア ッ プグレー ド
表 A‐1 : v2.0 で追加 さ れたポー ト
入力/出
力
入力
ポー ト 名
dclk
説明
機能
ト ラ ン シーバー DRP イ ン タ ーフ ェ
イ ス にア ク セ スす る ために使用す
る ク ロ ッ ク 。 こ れは独立 ク ロ ッ ク
を dclk 用に使用で き る よ う に追加
さ れま し た。
ト ラ ン シーバーの DRPCLK ポー ト
の仕様範囲内に あ る ク ロ ッ ク の供
給源で あ る ク ロ ッ ク バ ッ フ ァ ーを
使用 し て こ の信号を駆動 し ます。下
位互換性を保つ場合 こ のポー ト は core_clk156_out ポー
ト に接続す る こ と がで き ます。
最終 イ ン プ リ メ ン テーシ ョ ン の前
に、こ のポー ト を 0 ま たは 1 に接続
し ま す。 短い タ イ マー値 を 得 る た
め、シ ミ ュ レーシ ョ ン中に まず 0 で
こ れ を 駆動 し て か ら 1 で駆動 し ま
す。
入力
sim_speedup_control
短い タ イ マー値を使用 し たシ ミ ュ
レーシ ョ ン を可能に し ます。
出力
mmcm_locked_clk156_out
MMCM か ら の ロ ッ ク さ れた指示信
号。 こ れは clk156_out ク ロ ッ ク ド 未接続の ま ま に し てお き ます。
メ イ ンに同期化 さ れてい ます。
出力
txfsmresetdone_out
ト ラ ン シーバーの ト ラ ン ス ミ ッ
タ ー初期化ス テー ト マシ ンが完了
未接続の ま ま に し てお き ます。
出力
gt0_txuserrdy_out
ト ラ ン シーバーか ら の
TXUSDERRDY 出力
未接続の ま ま に し てお き ます。
出力
gt0_txreset_out
ト ラ ン ス ミ ッ タ ー初期化ス テー ト
マシ ンに よ り 出力 さ れ る ト ラ ン
シーバーの Tx PCS リ セ ッ ト 信号
未接続の ま ま に し てお き ます。
出力
rxrecclk
リ カバ リ し た RX ク ロ ッ ク 出力
未接続の ま ま に し てお き ます。
その他の変更点
なし
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
142
付録 B
デバ ッ グ
こ の付録では、 ザ イ リ ン ク ス サポー ト ウ ェ ブサ イ ト よ り 入手可能な リ ソ ースお よ びデバ ッ グ ツールについて説明 し
ます。
ヒ ン ト : IP 生成の生成にエ ラ ーが発生 し 停止 し た場合、 ラ イ セ ン ス に問題があ る 可能性があ り ます。 詳細は、 第 1 章
の 「 ラ イ セ ン ス チ ェ ッ カー」 を参照 し て く だ さ い。
ザイ リ ン ク ス ウ ェ ブサイ ト
AXI 10G Ethernetを使用 し た設計お よ びデバ ッ グでヘルプが必要な場合は、ザ イ リ ン ク ス サポー ト ウ ェ ブ ページか ら
製品の資料、 リ リ ース ノ ー ト 、 ア ンサーな ど を参照する か、 テ ク ニ カル サポー ト でケース を開いて く だ さ い。
文書
こ の製品ガ イ ド は AXI 10G Ethernet に関する 主要資料です。 こ のガ イ ド 並びに全製品の設計プ ロ セ ス をサポー ト す る
資 料 は す べ て、 ザ イ リ ン ク ス サ ポ ー ト ウ ェ ブ ペー ジ (http://japan.xilinx.com/support) ま た は ザ イ リ ン ク ス の
Documentation Navigator か ら 入手で き ます。
Documentation Navigator は、 ダ ウ ン ロ ー ド ページ (http://japan.xilinx.com/download) の [デザ イ ン ツール] タ ブか ら ダ ウ
ン ロ ー ド で き ます。 こ の ツールの詳細お よ び機能は、 イ ン ス ト ール後にオン ラ イ ン ヘルプ を参照 し て く だ さ い。
ソ リ ュ ーシ ョ ン セ ン タ ー
デバ イ ス、 ツール、 IP のサポー ト については、 ザ イ リ ン ク ス ソ リ ュ ーシ ョ ン セ ン タ ーを参照 し て く だ さ い。 ト ピ ッ
ク には、 デザ イ ン ア シ ス タ ン ト 、 ア ド バ イ ザ リ 、 ト ラ ブルシ ュ ー ト ヒ ン ト な ど が含まれます。
AXI 10G Ethernet の ソ リ ュ ーシ ョ ン セ ン タ ーは こ ち ら です。
•
ザ イ リ ン ク ス イ ーサネ ッ ト IP ソ リ ュ ーシ ョ ン セ ン タ ー
ア ンサー デー タ ベース
ア ンサーには、 よ く 発生す る 問題についてその解決方法、 お よ びザ イ リ ン ク ス製品に関す る 既知の問題な ど の情報が
記載 さ れてい ます。ア ンサーは、ユーザーが該当製品の最新情報にア ク セ ス で き る よ う 作成お よ び管理 さ れてい ます。
こ の コ アに関す る ア ンサーの検索には、 ザ イ リ ン ク ス サポー ト ウ ェ ブ ページにあ る 検索ボ ッ ク ス を使用 し ます。 よ
り 的確な検索結果を得 る には、 次の よ う な キーワー ド を使用 し て く だ さ い。
•
製品名
•
ツールで表示 さ れ る メ ッ セージ
•
問題の概要
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
143
付録 B : デバ ッ グ
検索結果は、 フ ィ ル タ ー機能を使用 し て さ ら に絞 り 込む こ と がで き ます。
マ ス タ ー ア ンサー : AXI 10G Ethernet
ア ンサー レ コ ー ド :57358
テ ク ニ カル サポー ト
ザ イ リ ン ク ス は、 製品資料の説明に従 っ て使用 さ れて い る 本製品に対す る テ ク ニ カ ル サ ポー ト を japan.xilinx.com/
support で提供 し てい ます。 マニ ュ アルで定義 さ れていないデバ イ ス に イ ンプ リ メ ン ト し た り 、 製品マニ ュ アルで記述
さ れてい る 範囲を超え て カ ス タ マ イ ズ し た り 、 「DO NOT MODIFY」 と 記述 さ れてい る デザ イ ン セ ク シ ョ ンに変更を
加えた り し た場合、 タ イ ミ ン グ、 機能、 製品サポー ト は保証 さ れません。
テ ク ニ カル サポー ト へのお問い合わせ方法は、 次の と お り です。
1.
http://japan.xilinx.com/support にア ク セ ス し ます。
2.
「その他の リ ソ ース」 の下の [ ウ ェ ブケース を作成] リ ン ク を ク リ ッ ク し 、 ウ ェ ブケース を開き ます。
ウ ェ ブケース を作成す る 際は、 次の情報を含めて く だ さ い。
•
パ ッ ケージお よ びス ピー ド グ レー ド を含む タ ーゲ ッ ト FPGA
•
該当す る すべてのザ イ リ ン ク ス デザ イ ン ツール と シ ミ ュ レー タ の ソ フ ト ウ ェ ア バージ ョ ン
•
問題に よ っ ては、 フ ァ イ ルの追加を求め ら れ る 場合があ り ます。 ウ ェ ブケース に含め る 特定フ ァ イ ルについては、
こ の資料の関連セ ク シ ョ ン を参照 し て く だ さ い。
注記 : すべての問題が ウ ェ ブ ケー ス の利用対象にな る わけではあ り ま せん。 ウ ェ ブ ケー ス ツールに ロ グ イ ン し てサ
ポー ト オプシ ョ ン を確認 し て く だ さ い。
デバ ッ グ ツール
AXI 10G Ethernet デザ イ ン の問題を解決す る には、 数多 く の ツールを利用で き ます。 さ ま ざ ま な状況をデバ ッ グす る
のに有益な ツールを理解 し てお く こ と が重要です。
Vivado ラ ボ ツール
Vivado® ラ ボ ツールは、 Logic Analyzer (ILA) お よ び Virtual I/O (VIO) コ ア を ユーザーのデザ イ ン に直接挿入 し ま す。
Vivado ラ ボ ツールを使用す る と 、 ト リ ガー条件を設定 し て、 ハー ド ウ ェ アでアプ リ ケーシ ョ ンお よ び統合ブ ロ ッ ク の
ポー ト 信号をハー ド ウ ェ アに取 り 込む こ と がで き ます。 取 り 込まれた信号は、 その後解析で き ます。 Vivado IDE の こ
の機能は、 ザ イ リ ン ク ス デバ イ ス で実行 さ れ る デザ イ ンの論理デバ ッ グお よ びバ リ デーシ ョ ンに使用 さ れます。
Vivado ロ ジ ッ ク 解析は次の論理デバ ッ グ IP コ ア と 共に使用 さ れます。
•
ILA 2.0 (お よ びそれ以降のバージ ョ ン)
•
VIO 2.0 (お よ びそれ以降のバージ ョ ン)
こ のオプシ ョ ンの使用方法については、 『Vivado Design Suite ユーザー ガ イ ド : プ ロ グ ラ ムお よ びデバ ッ グ』 (UG908) [
参照 8]を参照 し て く だ さ い。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
144
付録 B : デバ ッ グ
ハー ド ウ ェ ア デバ ッ グ
ハー ド ウ ェ アの問題は、 リ ン ク 立ち上げ時の問題か ら 、 何時間 も のテ ス ト の後に発生す る 問題ま で さ ま ざ ま です。 こ
こ では、 一般的な問題のデバ ッ グ手順を説明 し ます。 Vivado ラ ボ ツールは、 ハー ド ウ ェ ア デバ ッ グに有益な リ ソ ー
ス です。 次の各セ ク シ ョ ンに示す信号を Vivado ラ ボ ツールでプ ロ ーブする こ と で、 個々の問題をデバ ッ グで き ます。
一般的な問題の多 く は、 デザ イ ン シ ミ ュ レーシ ョ ンのデバ ッ グに も あ ては ま り ます。
一般的なチ ェ ッ ク
コ アの タ イ ミ ン グ制約がすべて適切に適用 さ れてい る こ と 、 さ ら に イ ンプ リ メ ン テーシ ョ ン時に こ れ ら の制約がすべ
て満た さ れてい る こ と を確認 し ます。
•
配置配線後 タ イ ミ ン グ シ ミ ュ レーシ ョ ンで正 し く 動作す る か。 タ イ ミ ン グ シ ミ ュ レーシ ョ ン で問題がないのに
ハー ド ウ ェ アで不具合が発生す る 場合、 PCB の問題であ る 可能性が考え ら れます。 すべての ク ロ ッ ク ソ ース がア
ク テ ィ ブで ク リ ーンであ る こ と を確認 し て く だ さ い。
•
デザ イ ンで MMCM を使用 し てい る 場合、 LOCKED ポー ト を監視 し て、 すべての MMCM が ロ ッ ク し てい る こ と
を確認 し ます。
•
数時間問題な く 動作 し た後に出力が 0 にな る 場合は、 ラ イ セ ン ス をチ ェ ッ ク し て く だ さ い。
ロー カルまたは リ モー ト フ ォル ト の原因
ロ ーカル フ ォ ル ト お よ び リ モー ト フ ォ ル ト の コ ー ド はど ち ら も XGMII レーン 0 で TXD/RXD=0x9C、 TXC/RXC=1 と
い う シーケ ン ス で始ま り ます。 フ ォ ル ト コ ンデ ィ シ ョ ン も 、 ス テー タ ス ベ ク タ ーま たは MDIO レ ジ ス タ を確認す る
と 確認で き ま す。 ロ ーカル フ ォ ル ト お よ び リ ン ク ス テー タ ス は、 IEEE 仕様に よ り 直接お よ び間接のエ ラ ー イ ン ジ
ケー タ と し て定義 さ れてい ます。
重要 : つま り 、 ス テー タ ス ベ ク タ ー ま たは MDIO レ ジ ス タ の ロ ーカル フ ォ ル ト お よ び リ ン ク ス テー タ ス ビ ッ ト は、
コ ン フ ィ ギ ュ レーシ ョ ン ベ ク タ ーの関連 リ セ ッ ト ビ ッ ト を使用する か、ま たは PMA ま たは PCS リ セ ッ ト を出力 し て
ク リ アにす る 必要があ り ます。
ロー カル フ ォル ト
レ シーバーが動作 し ていない と き に、 レ シーバーは ロ ーカル フ ォ ル ト を出力 し ます。 こ の RX ロ ーカル フ ォ ル ト は、
ス テー タ ス レ ジ ス タ お よ び MDIO レ ジ ス タ に も 表示 さ れます。RX ロ ーカル フ ォ ル ト の一般的な原因には次の も のが
あ り ます。
•
ト ラ ン シーバーが ロ ッ ク さ れていない、 ま たは レ シーバーが リ セ ッ ト 中であ る 。
•
ブ ロ ッ ク ロ ッ ク の ス テー ト マシ ンが完了 し ていない。
•
BER 監視ス テー ト マシ ンが高い BER を示 し てい る 。
•
エ ラ ス テ ィ ッ ク バ ッ フ ァ ーがオーバーフ ロ ーま たはア ン ダーフ ロ ーにな っ てい る 。
リ モー ト フ ォル ト
リ モー ト フ ォ ル ト は、 ロ ーカル フ ォ ル ト メ ッ セージに呼応 し て、 MAC リ コ ン シ リ エーシ ョ ン レ イ ヤーでのみ生成
さ れます。 レ シーバーが リ モー ト フ ォ ル ト を受信 し た場合は、 リ ン ク パー ト ナーが ロ ーカル フ ォ ル ト コ ンデ ィ シ ョ
ンにな っ てい る こ と を示 し ます。
MAC リ コ ン シエ リ エーシ ョ ン レ イ ヤーが リ モー ト フ ォ ル ト を受信 し た場合、 送信中の任意デー タ を破棄 し 、 代わっ
て、 リ ン ク パー ト ナーがその ロ ーカル フ ォ ル ト コ ンデ ィ シ ョ ン を解決で き る よ う に IDLE を送信 し ます。 MAC リ コ
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
145
付録 B : デバ ッ グ
ン シエ リ エーシ ョ ン レ イ ヤーが ロ ーカル フ ォ ル ト を受信 し た場合、 送信中の任意デー タ を破棄 し 、 代わっ て、 フ ォ ル
ト コ ンデ ィ シ ョ ン にな っ てい る こ と を リ ン ク パー ト ナーに知 ら せ る ため、 リ モー ト フ ォ ル ト を送信 し ます。 ザ イ リ
ン ク ス 10G イ ーサネ ッ ト MAC コ アには リ モー ト フ ォ ル ト 送信をデ ィ ス エーブルにす る オプシ ョ ンがあ る ので注意 し
て く だ さ い。
リ ン ク ア ッ プ ‐ 基本
高位 リ ン ク ア ッ プ (オー ト ネゴ シ エーシ ョ ン と ト レーニ ン グ をデ ィ ス エーブルに
し た状態の 10GBASE‐R または 10GBASE‐KR)
次の リ ン ク 初期化ス テージは、 デバ イ ス A と デバ イ ス B の リ ン ク ア ッ プ シナ リ オについて説明 し てい ます。
ス テージ 1 : デバイ ス A がパワーア ッ プ、 デバイ ス B はパワーダウ ン
1.
デバ イ ス A がパ ワーア ッ プ し 、 リ セ ッ ト し ます。
2.
デバ イ ス B がパ ワ ーダ ウ ン し ます。
3.
デバ イ ス A が信号を受信 し ないため フ ォ ル ト を検出 し ます。 デバ イ ス A の 10Gb PCS/PMA コ アが RX ロ ーカル
フ ォ ル ト を示 し ます。
4.
デバ イ ス A の MAC リ コ ン シ リ エーシ ョ ン レ イ ヤーがその ロ ーカル フ ォ ル ト を受信 し ます。こ れを受けて、MAC
リ コ ン シ リ エーシ ョ ン レ イ ヤーが送信中の任意デー タ を破棄 し 、 代わ り に リ モー ト フ ォ ル ト を送信 し ます。
5.
RX リ ン ク ス テー タ ス = デバ イ ス A で 0 ( リ ン ク ダ ウ ン)
X-Ref Target - Figure B-1
'HYLFH%
'HYLFH$
7;5HPRWH)DXOW
/LQN
'RZQ
0DF3&630$
0DF3&630$
5;1RVLJQDO
/LQN
'RZQ
1RVLJQDO7;
;
図 B‐1 : デバイ ス A がパワーア ッ プ、 デバイ ス B はパワーダウン
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
146
付録 B : デバ ッ グ
ス テージ 2 : デバイ ス B がパワーア ッ プ し リ セ ッ ト
1.
デバ イ ス B がパ ワ ーア ッ プ し 、 リ セ ッ ト し ます。
2.
デバ イ ス B の 10Gb PCS/PMA がブ ロ ッ ク ロ ッ ク お よ び BER の高い ス テー ト マシ ン を完了 し ます。
3.
デバ イ ス A はブ ロ ッ ク ロ ッ ク し ません。 リ モー ト フ ォ ル ト を送信 し 続け ます。
4.
デバ イ ス B の 10Gb PCS/PMA が受信 し た リ モー ト フ ォ ル ト を MAC に送信 し ます。
5.
デバ イ ス B の MAC リ コ ン シ リ エーシ ョ ン レ イ ヤーがその リ モー ト フ ォ ル ト を受信 し ます。送信中の任意デー タ
を破棄 し 、 代わ り に IDLE を送信 し ます。
6.
リ ン ク ス テー タ ス = デバ イ ス A お よ び B の両方で 0 ( リ ン ク ダ ウ ン)
X-Ref Target - Figure B-2
'HYLFH$
'HYLFH%
7;5HPRWH)DXOW
/LQN
'RZQ
0DF3&630$
0DF3&630$
5;1RVLJQDO
,'/(7;
/LQN
'RZQ
;
図 B‐2 : デバイ ス B がパワーア ッ プ し リ セ ッ ト
ス テージ 3 : デバイ ス A が IDLE シーケ ン ス を受信
1.
デバ イ ス A の PCS/PMA RX が IDLE を検出 し 、 同期化お よ びア ラ イ メ ン ト を実行 し ます。
2.
デバ イ ス A の リ コ ン シ リ エーシ ョ ン レ イ ヤーが、 MAC ト ラ ン ス ミ ッ タ ーの出力で フ レーム破棄を停止 し 、 デバ
イ ス B に リ モー ト フ ォ ル ト を送信す る のを停止 し ます。
3.
デバ イ ス A の リ ン ク ス テー タ ス=1 ( リ ン ク ア ッ プ)
4.
デバ イ ス B が リ モー ト フ ォ ル ト を受信す る のを停止す る と 、 通常操作が再開 し ます。
X-Ref Target - Figure B-3
'HYLFH%
'HYLFH$
7;,'/(
/LQN
'RZQ
0DF3&630$
0DF3&630$
5;,'/(
,'/(7;
/LQN
'RZQ
;
図 B‐3 : デバイ ス A が IDLE シーケ ン ス を受信
ス テージ 4 : 通常動作
図 B-4 で説明 さ れてい る ス テージ 4 では、 デバ イ ス A お よ び B の両方がパ ワーア ッ プ さ れて リ セ ッ ト さ れてい ます。
リ ン ク ス テー タ ス は両方のデバ イ ス で 1 ( リ ン ク ア ッ プ) で、 ま た両方のデバ イ ス で MAC はフ レーム を送信す る こ と
がで き ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
147
付録 B : デバ ッ グ
X-Ref Target - Figure B-4
'HYLFH$
'HYLFH%
7;,'/(
/LQN
8S
0DF3&630$
0DF3&630$
5;,'/(
,'/(7;
/LQN
8S
;
図 B‐4 : 通常動作
リ ン ク ア ッ プ ‐ BASE‐KR
オプシ ョ ンのオー ト ネ ゴ シエーシ ョ ン を設定 し た 10GBASE-KR コ アの場合、 リ ン ク ア ッ プは 10GBASE-R コ アの場
合 よ り も 複雑にな り ます。 まず、 外部 リ ン ク をパ ワーダ ウ ンす る ため、 リ ン ク の両サ イ ド が伝送を停止 し ます。
次に、 デー タ レ ー ト の低いオー ト ネ ゴ シエーシ ョ ン (AN) フ レ ーム が交信 さ れ、 片側でチ ェ ッ ク さ れ ま す。 ト ラ ン
シ ー バ ー は こ の 低 レ ー ト プ ロ ト コ ル の 別 の 受信 モ ー ド に な り ま す。 AN フ レ ー ム の 初期交信 が 完了す る と 、
AN_GOOD_CHECK ス テー ト に遷移 し 、伝送は高いデー タ レー ト の ト レーニ ン グ プ ロ ト コ ルに よ る フ レーム交信に切
り 替わ り ます。 こ れは AN 開始/再開の 500ms 内に完了する 必要があ り ます。 ト ラ ン シーバーは こ の段階の リ ン ク ア ッ
プで さ ら に別のモー ド にな り ます。
ト レーニ ン グでは、 受信 さ れた信号の検出お よ び計測、 そ し て、 受信 さ れた信号を向上 さ せ る ため遠端 ト ラ ン ス ミ ッ
タ ーの特性を変更す る コ マ ン ド の送信が行われます。 リ ン ク の両サ イ ド が可能な限 り ベス ト な状態の信号を受信す る
ま で、 こ れは双方向で行われます。
こ の時点で、 ト レーニ ン グは COMPLETE と な り 、 AN プ ロ ト コ ル も 完了 し て AN Link Good の フ ラ グ を設定 し ます。
こ れで通常の イ ーサネ ッ ト 送信お よ び受信が イ ネーブルにな り 、 ト ラ ン シーバーは通常動作モー ド にな り ま す。 AN
が再開ま たは リ セ ッ ト さ れ る たびに、 こ のプ ロ セ ス全体が繰 り 返 さ れます。
2 つの同 じ BASE-KR コ アが同時にパ ワ ーア ッ プ し リ セ ッ ト す る と 、 オー ト ネ ゴ シエーシ ョ ンの一部 と な る 擬似 ラ ン
ダ ム の 「nonce」 生成が、 「nonce」 値の同 じ シーケ ン ス を生成 し ま す。 こ れに よ り 、 こ の 2 つの コ アでオー ト ネ ゴ シ
エーシ ョ ンが完了 し な く な る 可能性があ り ます。 ど ち ら か一方の コ アへの リ セ ッ ト を少な く と も 2 ク ロ ッ ク サ イ ク ル
遅 ら せ る こ と に よ り 、 こ れを回避す る こ と がで き ます。
リ ン ク ア ッ プのための コ ン フ ィ ギ ュ レーシ ョ ン ベ ク タ ーの使用
オ プ シ ョ ン の MDIO イ ン タ ー フ ェ イ ス が コ ア で 使用 さ れ て い な い 場合、 コ ア の 一部 と し て 提供 さ れ て い る
10GBASE-KR コ アのブ ロ ッ ク レベル デザ イ ン には、 AN プ ロ ト コ ルの適切な ス テージで ト レーニ ン グ を自動的に リ
セ ッ ト す る 簡単な ロ ジ ッ ク が含ま れてい ます。 AN ブ ロ ッ ク が コ アに含まれていない場合は、 適切な方法で コ ン フ ィ
ギ ュ レーシ ョ ン ベ ク タ ーの ト レーニ ン グ制御ビ ッ ト を手動で駆動する 必要があ り ます。
リ ン ク ア ッ プのための MDIO イ ン タ ー フ ェ イ スの使用
オプシ ョ ンの MDIO イ ン タ ーフ ェ イ ス が コ アで使用 さ れてい る 場合、10GBASE-KR のブ ロ ッ ク レベル デザ イ ンには、
ト レーニ ン グ を制御す る ための ロ ジ ッ ク は含まれてい ません。 関連 MAC で管理 イ ン タ ーフ ェ イ ス を使用 し て、 MDIO
イ ン タ ーフ ェ イ ス を介 し て シ ス テ ム を制御す る マ イ ク ロ プ ロ セ ッ サがあ る も の と みな さ れ ます。 AN プ ロ ト コ ルの現
在の ス テー ト を示 し 、 ト レーニ ン グ プ ロ ト コ ル制御レ ジ ス タ を IEEE 802.3 規格に沿っ て駆動する AN レ ジ ス タ を監視
す る 必要があ り ます。
ブ ロ ッ ク ロ ッ ク がで き ない
ブ ロ ッ ク ロ ッ ク の喪失をデバ ッ グす る には次の こ と を確認 し てみて く だ さ い。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
148
付録 B : デバ ッ グ
•
コ アへの signal_detect 入力の ス テー ト を監視 し ます。 次のいずれかの状態にな っ てい る はずです。
°
°
光の存在を検出す る ための光モジ ュ ールに接続 さ れてい る 。 ロ ジ ッ ク 1 は光モジ ュ ールが正 し く 光を検出 し
てい る こ と 、 ロ ジ ッ ク 0 はフ ォ ル ト を示 し ます。 こ のため、 正 し い極性で こ れが駆動 さ れてい る こ と を確認
し て く だ さ い。
ロ ジ ッ ク 1 に接続 さ れてい る (光モジ ュ ールには接続 さ れていない場合)
注記 : signal_detect が ロ ジ ッ ク 0 に設定 さ れてい る 場合、コ アの レ シーバーの同期化ス テー ト マシ ンは同期ス テー
ト を失っ た ま ま にな り ます。
•
無効な同期ヘ ッ ダーが数多 く 受信 さ れてい ます。 受信 し てい る 無効な同期ヘ ッ ダーの数に よ り ますが、 HIBER 出
力ス テー タ ス ビ ッ ト ま たは MDIO レ ジ ス タ に反映 さ れていない可能性があ り ます。
ト ラ ン シーバーで確認す る こ と は次の と お り です。
•
txn/txp お よ び rxn/rxp の極性が反転 し ていない こ と を確認 し ます。 反転 し てい る 場合、 ト ラ ン シーバーの
TXPOLARITY お よ び RXPOLARITY ポー ト を使用 し て修正す る こ と がで き ます。
•
ト ラ ン シーバーが リ セ ッ ト に な っ て い な い か、 ま た は初期化中で な い か確認 し ま す。 ト ラ ン シーバーか ら の
RESETDONE 出力は ト ラ ン シーバーが準備完了にな っ た こ と を示 し ます。
10Gb PCS/PMA コ ア で エ ラ ーが挿入 さ れる
受信パス の 10Gb PCS/PMA コ アで、 受信ス テー ト マシ ンがブ ロ ッ ク エ ラ ー RXD=FE、 RXC=1 を挿入す る こ と があ り
ます。 RX ブ ロ ッ ク エ ラ ーは RX_E ス テー ト に遷移す る と 常に発生 し ます。 C、 S、 D、 T の順番が乱れてい る と 発生
す る 可能性があ り ます。 ま たは、 /E/ ブ ロ ッ ク タ イ プが受信 さ れ る と 発生す る こ と も あ り ます。 こ れは IEEE 802.3 の
49.2.13.2.3 節で、 不正な同期ヘ ッ ダーのあ る 66 ビ ッ ト コ ー ド 、 ま たはフ ロ ッ ク タ イ プ フ ィ ール ド の変換 と 一致 し な
い制御ワー ド (C、 S、 ま たは T) と し て定義 さ れてい ます。
RX エ ラ ス テ ィ ッ ク バ ッ フ ァ ーがア ン ダーフ ロ ーにな る と 、 コ アは /E/ ブ ロ ッ ク を挿入 し 、 その後に /L/ ブ ロ ッ ク が続
き ます。
FEC が レ ジ ス タ ビ ッ ト 1.170.0 で イ ネーブルにな り 、 FEC エ ラ ー パ ス が レ ジ ス タ ビ ッ ト 1.170.1 で イ ネーブルにな る
場合、 訂正不可能な FEC エ ラ ーに よ り 、 FEC ブ ロ ッ ク が 2 つの同期ヘ ッ ダー ビ ッ ト を同 じ 値に設定 し て し ま い、 不
正な同期ヘ ッ ダーが作成 さ れます。 そ し て こ れを RX PCS デコ ーダーが /E/ ブ ロ ッ ク と し てデ コ ー ド し ます。
ト ラ ン シーバーのチ ェ ッ ク
•
ト ラ ン シーバーをパ ラ レルま たはシ リ アルの近端ループバ ッ ク に配置 し ます。
•
ト ラ ン シーバーのシ リ アル ループバ ッ ク で正 し い動作が見 ら れ る が、 ループバ ッ ク を光ケーブルを介 し て実行 し
ていない場合は、 光ケーブルに問題があ る 可能性があ り ます。
•
ト ラ ン シーバーのパ ラ レル ループバ ッ ク で正 し い動作が見 ら れ る が、シ リ アル ループバ ッ ク では見 ら れない場合
は、 ト ラ ン シーバーに問題があ る 可能性があ り ます。
•
軽い ビ ッ ト エ ラ ー レー ト であれば、 ト ラ ン シーバーの ト ラ ン ス ミ ッ タ ー プ リ エン フ ァ シ スお よ び差動ス イ ン グ
制御属性を調整 し て解決で き る 場合があ り ます。
リ ン ク ト レーニ ング
現在、 コ アには リ ン ク ト レーニ ン グ アルゴ リ ズ ムは含まれていないため、 ユーザーが必要 と す る も のがあればそれを
イ ンプ リ メ ン ト す る 必要があ り ます。
ハー ド ウ ェ ア テ ス ト で、 ザ イ リ ン ク ス ト ラ ン シーバーの RX DFE ロ ジ ッ ク がほぼど の リ ン ク に も 適応で き る こ と が
判明 し てい る ので、遠端 ト レーニ ン グは ま っ た く 必要ない場合 も あ り 、 ま た Training Done レ ジ ス タ / コ ン フ ィ ギ ュ レー
シ ョ ン ビ ッ ト をデフ ォ ル ト と し て設定す る こ と がで き ます。
ユーザーが ト レーニ ン グ アルゴ リ ズ ム を イ ンプ リ メ ン ト する と 決めた場合は、受信デー タ のシ グナル イ ン テ グ リ テ ィ
を監視 し 、 遠端デバ イ スへ送信す る inc/dec/preset/initialize コ マ ン ド を提供す る ためにハー ド ウ ェ ア を含め る だけでな
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
149
付録 B : デバ ッ グ
く 、 updated が返 さ れ る ま で コ マ ン ド を送信 し 、 それか ら not updated が返 さ れ る ま で hold を送信す る プ ロ ト コ ルに沿
う 必要があ り ます。
重要 : ま た Initialize で Preset を送信 し ないな ど、 IEEE 802.3 で定義 さ れてい る コ マ ン ド の優先順位に も 従 う 必要があ
り ます。
10GBASE-KR コ アには、 ユーザーの介入な し に遠端デバ イ ス に よ る ト レーニ ン グ を可能にす る ロ ジ ッ ク が含まれてい
ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
150
付録 C
その他の リ ソ ースおよび法的通知
ザイ リ ン ク ス リ ソ ース
ア ンサー、 資料、 ダ ウ ン ロ ー ド 、 フ ォー ラ ム な ど のサポー ト リ ソ ース は、 ザ イ リ ン ク ス サポー ト サ イ ト を参照 し て
く だ さ い。
参考資料
次の規格に関す る 詳細は、 IEEE の ウ ェ ブサ イ ト を参照 し て く だ さ い。
1.
IEEE 規格 802.3-2008、「Carrier Sense Multiple Access with Collision Detection (CSMA/CD) Access Method and Physical
Layer Specifications」
2.
IEEE 規格 802.1Qbb、 「IEEE Standard for Local and Metropolitan Area Networks—Virtual Bridged Local Area
Networks—Amendment: Priority-based Flow Control」
次の資料は、 こ の製品ガ イ ド の補足資料 と し て役立ち ます。
3.
『Vivado Design Suite ユーザー ガ イ ド : IP イ ン テ グ レー タ ーを使用 し た IP サブシ ス テ ムの設計』 (UG994)
4.
『Vivado Design Suite ユーザー ガ イ ド : IP を使用 し た設計』 (UG896)
5.
『Vivado Design Suite ユーザー ガ イ ド : 入門』 (UG910)
6.
『Vivado Design Suite ユーザー ガ イ ド : ロ ジ ッ ク シ ミ ュ レーシ ョ ン』 (UG900)
7.
『Vivado Design Suite : ISE か ら Vivado Design Suite への移行手法ガ イ ド 』 (UG911)
8.
『Vivado Design Suite ユーザー ガ イ ド : プ ロ グ ラ ムお よ びデバ ッ グ』 (UG908)
9.
『Vivado Design Suite AXI リ フ ァ レ ン ス ガ イ ド 』 (UG1037)
10. 『7 シ リ ーズ FPGA GTX/GTH ト ラ ン シーバー ユーザー ガ イ ド 』 (UG476 : 英語版、 日本語版)
11. 『UltraScale アーキ テ ク チ ャ GTH ト ラ ン シーバー Advance 仕様ユーザー ガ イ ド 』 (UG576 : 英語版、 日本語版)
12. 『UltraScale アーキ テ ク チ ャ GTY ト ラ ン シーバー ユーザー ガ イ ド 』 (UG578 : 英語版、 日本語版)
改訂履歴
次の表に、 こ の文書の改訂履歴を示 し ます。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
151
付録 C :snその他の リ ソ ースおよび法的通知
日付
バージ ョ ン
改訂内容
2014 年 10 月 1 日
2.0
•
•
•
•
2014 年 4 月 2 日
1.2
代替訂正フ ィ ール ド フ ォーマ ッ ト のシ ス テ ム タ イ マーを使用 し た IEEE 1588 訂
正フ ィ ール ド の変更のサポー ト を追加
2013 年 12 月 18 日
1.1
GTHE2 ト ラ ン シーバーのサポー ト 追加。IEEE 1588 制御レ ジ ス タ のデフ ォ ル ト 値
を修正
2013 年 10 月 2 日
1.0
初版
10GBASE-R (1588 サポー ト な し ) のサポー ト 追加
10GBASE-KR のサポー ト 追加
UltraScale デバ イ ス のサポー ト 追加
サンプル デザ イ ンお よ びデモ用テ ス ト ベンチを追加
法的通知
The information disclosed to you hereunder (the "Materials") is provided solely for the selection and use of Xilinx products.To the maximum extent
permitted by applicable law:(1) Materials are made available "AS IS" and with all faults, Xilinx hereby DISCLAIMS ALL WARRANTIES AND
CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY,
NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable (whether in contract or tort,
including negligence, or under any other theory of liability) for any loss or damage of any kind or nature related to, arising under, or in connection
with, the Materials (including your use of the Materials), including for any direct, indirect, special, incidental, or consequential loss or damage
(including loss of data, profits, goodwill, or any type of loss or damage suffered as a result of any action brought by a third party) even if such
damage or loss was reasonably foreseeable or Xilinx had been advised of the possibility of the same.Xilinx assumes no obligation to correct any
errors contained in the Materials or to notify you of updates to the Materials or to product specifications.You may not reproduce, modify, distribute,
or publicly display the Materials without prior written consent.Certain products are subject to the terms and conditions of Xilinx's limited warranty,
please refer to Xilinx's Terms of Sale which can be viewed at http://www.xilinx.com/legal.htm#tos; IP cores may be subject to warranty and support
terms contained in a license issued to you by Xilinx.Xilinx products are not designed or intended to be fail-safe or for use in any application
requiring fail-safe performance; you assume sole risk and liability for use of Xilinx products in such critical applications, please refer to Xilinx's
Terms of Sale which can be viewed at http://www.xilinx.com/legal.htm#tos.
© Copyright 2013–2014 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Vivado, Zynq, and other designated brands
included herein are trademarks of Xilinx in the United States and other countries.AMBA, AMBA Designer, ARM, ARM1176JZ-S, CoreSight,
Cortex, and PrimeCell are trademarks of ARM in the EU and other countries. All other trademarks are the property of their respective owners.
こ の資料に関す る フ ィ ー ド バ ッ ク お よ び リ ン ク な ど の問題につ き ま し ては、 [email protected] ま で、 ま たは各ページの
右下にあ る [フ ィ ー ド バ ッ ク 送信] ボ タ ン を ク リ ッ ク す る と 表示 さ れ る フ ォ ーム か ら お知 ら せ く だ さ い。 フ ィ ー ド バ ッ ク は日本語で
入力可能です。 いただ き ま し た ご意見を参考に早急に対応 さ せていただ き ます。 なお、 こ の メ ール ア ド レ スへのお問い合わせは受
け付けてお り ません。 あ ら か じ めご了承 く だ さ い。
AXI 10Gb Ethernet v2.0
PG157 2014 年 10 月 1 日
japan.xilinx.com
152