電子分光法による電子材料及びデバイス製造プロセス評価に関する研究

SURE: Shizuoka University REpository
http://ir.lib.shizuoka.ac.jp/
Title
電子分光法による電子材料及びデバイス製造プロセス評
価に関する研究
Author(s)
中村, 誠
Citation
p. 1-193
Issue Date
URL
Version
2006-09-22
http://doi.org/10.14945/00003073
ETD
Rights
This document is downloaded at: 2016-01-06T21:26:37Z
静岡大学
博士論文
電子分光法による電子材料及び
デバイス製造プロセス評価に関する研究
2006年9月
大学院電子科学研究科
電子材料科学専攻
中村誠
論文の要旨
本研究論文は,主に電子分光法(主にオージェ電子分光法[AES]やX線光電子分光法
[XPS])を中心に用いて,LSIに用いる新材料および新プロセスの評価を行ってきた過
程で,特にFEOL(Front End Of Line)と呼ばれているトランジスタ回りの研究事項につ
いてまとめたものである。
LSIの微細化は,30 年以上の歴史を持つにもかかわらず,いまだ推進され続けており
今日では,ゲート長 30nm でゲート酸化膜厚 1nm 程度のLSIが市場に現れている。 この
ような超高密度のデバイスを製作する上でその材料とプロセスを評価する事は極めて重要
であり,今後もそれらの技術の重要性は増す一方であると考えられている。 緒言として半
導体デバイスの簡単な歴史と近未来に向けてのトレンドを紹介するとともに材料評価技術
の適用状況とその重要性,限界などについて述べ,第2章では,本論文内で用いられてい
る各種データ解析方法に関して実験を踏まえながら纏め解説している。 例えば,基板上に
形成した酸化シリコン膜厚をXPSスペクトルから求める試みとして広く知られている J.
M. Hill の方法を適用する際に必要となるパラメータを実験的に求めるとともに,膜厚決
定のばらつきとして大きな影響を与える光電子回折の効果に関してシミュレーションによ
って検討を行った。 またスペクトル形状に化学状態変化が現れる場合や異なる元素に起因
するピークが重複する(特に原子番号の大きな元素を含む時はピークの重複が起きる)際に
非常に有効な因子分析(ファクタアナリシス)の考え方を解説するとともに実際の配線材料
(TiN/Ti/SiO2/Si-sub.)に適用した例を用いてその手順を解説している。 そして数 nm 以下の
非常に薄い層のプロファイルを再構築できるということで着目を浴びている,XPS強度
の検出角度依存性(角度分解XPS)に最大エントロピーを適用するという試みの考え方を
解説するとともに,実際の試料(SiO2/Si-sub.と SiON/Si-sub.)に適用して極薄膜のプロファイ
ルを再構築した結果を示した。 最後に宮崎らによって提案されたXPSスペクトルからバ
ンドダイアグラムを作成する方法の原理を解説し,実際にハフニウムやアルミニウムの酸
化物系の試料に本法を適用すると,バンドギャップ決定時の精度が著しく悪化するという
欠点を指摘した。 そして,この問題点を解決するために電子をプローブとした弾性散乱電
子のエネルギー損失スペクトルを用いる事の有効性を提案した。 弾性散乱電子のエネルギ
ー損失スペクトルを用いることで単純でシャープなピークを基準とすることができるので,
複合金属の酸化物に適用できるほかよりバンドギャップの小さな材料への適用が可能にな
る。 またプローブのエネルギーを調節することで分析深さを任意に変えることができるほ
か微小領域のバンドギャップ測定が可能になる。
第3章では,サリサイドプロセスを用いてコバルトをシリサイド化する際に基板導電型
によってシート抵抗に大きな違いがあった。(p 型シリコンの方が高抵抗) 透過型電子顕微
鏡観察により,シリサイドの膜厚に基板導電型依存性が見られ(高抵抗の p 型基板上のシリ
サイド膜厚が薄い),シート抵抗の基板導電型依存性を説明することができたが,何故この
様な現象が起るのか明らかではなかったが,XPSによる評価によって,p 型シリコンの
方が側壁絶縁膜を形成する反応性イオンエッチング時に導入される炭素量(Si-C)が多いこ
とを明らかにし(この炭素は,シリコンの酸化さえも抑制するほど強く結合している。),な
ぜそのような結果になったのかに関するプロセスモデルを提案した。
第4章では,ゲート絶縁膜として次世代のデバイスに不可欠と考えられている高誘電体
膜の物性評価結果を述べている。 高誘電体膜材料としてハフニウムシリケート膜(HfSiON)
がその特性とプロセス整合性から最も早く導入される可能性が高いと考えられており,そ
の亜種と考えられる酸化ハフニウムと窒化シリコンより構成されるナノラミネート構造膜
を用いて組成及び化学状態の変化を評価した。 またバンドギャップ値には組成依存性も熱
処理依存性もが観察されなかったが,バンドオフセットは熱処理によって大きくなり,ハ
フニウム量(Hf/(Hf+Si))が多いほど小さな値を示す傾向にある事を明らかにした。
第5章では容量絶縁膜として,DRAM(Dynamic Random Access Memory)でかつて使わ
れていた窒化シリコンの成膜前処理依存性と,現在使われているタンタル酸化膜の下地電
極依存性を各々評価した結果を示すとともに,FeRAM(Ferroelectric Random Access
Memory)の強誘電体膜としてのPZT[Pb(Zr,Ti)O3]の組成に依存する熱処理後の組成再分
布の評価を行った結果を述べた。 窒化シリコン膜をLPCVD(Low Pressure Chemical
Vapor Deposition)で成膜する際に前処理で下地表面に Si-F や Si-H のような強固な化学結
合が形成されるような前処理(希フッ酸やフッ酸蒸気)を行うと成膜初期段階で遅延時間が
生じる事を明らかにした。また,窒化シリコンと酸化シリコンが混在する系では,負の結
合エネルギー領域に観察される制動放射X線で励起されたオージェ電子(Si-KLL)は,光電
子(Si2p)に比べて化学状態の違いが明確に現れる事を見つけた。 さらに,ルテニウム電極
上にタンタル酸化膜を形成すると,通常ルテニウム表面がわずかに酸化する事が判り,ル
テニウム酸化膜の形成によりバンドオフセット値は変化しないが,タンタル酸化膜のバン
ドギャップ値が小さくなる事が判った。 さらにPZT中のチタン量が少ない場合下部電極
側にチタンが一定濃度に達するように濃度分布が変化することを明らかにするとともに,
PZTの違いによって下部電極である白金と密着層のチタンの反応が促進される場合があ
ることを明らかにした。 PZTや白金の様に原子番号が大きな元素を含む系をAESで分
析する時は,ピークが重複するため非常に厄介な系と考えられるが,因子分析を適用する
事によりいとも簡単に各成分を明確に分離して解析することができた。
半導体の微細化が推し進められて行く中で既存の分析技術は,既に満足のいくものでは
なくなりつつあるが,測定原理に立ち返りながらいかに効率よく組み合わせて用いること
によって目的を達成するかが今後の課題といえる。
目次
論文の要旨
第1章
緒言
1-1
はじめに
1
1-2
LSIのテクノロジの進化のトレンドと評価技術の重要性
13
1-3
LSIを構成する薄膜評価技術の現状
16
1-3-1
電子プローブに用いた分析手法とLSIプロセスの評価
1-3-2
X線をプローブに用いた分析手法とLSIプロセスの評価
1-3-3
イオンをプローブに用いた分析手法とLSIプロセスの評価
1-4
本研究に使用した電子分光装置
1-4-1
オージェ電子分光装置
1-4-2
X光電子分光装置
1-5
第2章
本研究の位置づけ
26
30
電子分光分析法を用いた半導体材料評価技術
2-1
はじめに
31
2-2
電子分光法による膜厚測定
37
2-3
因子分析(ファクタアナリシス)を用いた深さ方向分析
54
2-4
角度分解XPSデータに最大エントロピー法を適用した深さ方向分析
64
2-5
XPSによるバンドアライメント決定
74
2-6
XPSによるバンドギャップ決定法の問題点とその解決策
78
第3章
サリサイドプロセスがシリサイド化反応に及ぼす影響
3-1
はじめに
3-2
実験方法
3-3
結果と考察
3-4
まとめ
第4章
高誘電率ゲート絶縁膜の評価
4-1
はじめに
4-2
高誘電体ゲート絶縁膜の評価
83
103
4-2-1 実験方法
4-2-2 結果と考察
4-2-3 まとめ
第5章
キャパシタ絶縁膜の評価
5-1
はじめに
133
5-2
DRAMのキャパシタ絶縁膜(SiON/Si)構造の評価1
137
5-2-1 実験方法
5-2-2 結果と考察
5-2-3 まとめ
5-3
DRAMのキャパシタ絶縁膜(Ta2O5/Ru)構造の評価2
149
5-3-1 実験方法
5-3-2 結果と考察
5-3-3 まとめ
5-3-4 最後に
5-4
FeRAMのキャパシタ絶縁膜(PZT/Ti/Pt)構造の評価
161
5-4-1 実験方法
5-4-2 結果と考察
5-4-3 まとめ
5-4-4 最後に
第6章
総括
177
Appendix
Appendix1 LSIの微細化に伴う各種パラメータの変化
182
Appendix2 バックグラウンドの規定
183
Appendix3 TPP2M
184
Appendix4 J. M. Hill の式
185
Appendix5 Auger 電子による化学状態分析
187
学術論文
191
謝辞
193
第1章
1-1
緒言
はじめに
半導体デバイスは,今日の社会ではほとんどすべての電化製品の中に内蔵されており,
日常生活に欠かすことのできない工業製品のひとつになっている。 過去 30 年間の半導体
市場は年平均 15%の成長を遂げており,2005 年の売上高は,2458 億米ドル(26.1 兆円)に達
すると予想されている。[1] 特にシリコンを基板とする集積回路(LSI: Large Scale
Integration)産業の進歩は,1970 年頃からの大規模集積化,高密度・高機能化(30 年余り
のうちに 100 万倍の性能・機能向上)に基づいて急速に成長し,産業の米[2]とまで言われ
た時代を経て今日では,わが国のみならず世界の産業界にまで影響を与える巨大な基幹産
業となっている。シリコン集積回路は,1947 年にベル電話研究所の John Bardeen と Walter
Houser Brattain による点接触トランジスタ(ゲルマニウムのn型単結晶に金属針を立て
たもの)の電流増幅作用の発見から始まり,1952 年に William Bradford Shockley による
接合型電界効果トランジスタ(Junction Field Effect Transistor)の発見を経て現在のシ
リコンを基板とするMOSFET(Metal-Oxide-Semiconductor Field Effect Transistor)
へとつながり,今日の巨大産業へ発展する足がかりとなった。(John Bardeen,Walter Houser
Brattain,William Bradford Shockley はともにノーベル賞を授与されている。)
シリコンを基板にするトランジスタは,その基本材料が地上に非常に多く存在する
(Table 1-1-1 に,クラーク数[3](地殻の表層部(海面下 16km)に含まれる各元素の質量パー
セントを示す。))事と,素材の素性の良さ(Table 1-1-2[4]に示す様に,熱伝導度はゲルマ
ニウムの約 2.5 倍であり,二酸化シリコンが電気的・化学的・機械的に安定している)などの
幸運が重なり今日の発展の礎になっている。
L S I の微 細 化 は ,広 く 知 ら れて い る ム ーア の 法 則 [5](Intel 社 の 共 同 設 立者 の
Gordon Moore( 当 時 Fairchild Camera and Instrument Corp. に 所 属 ) が 1965 年 に
Electronics 誌に発表したもので,CPU(Central Processing Unit)に搭載される「トラ
ンジスタの集積度は約 1 年で倍増する」という発表を行ったが 1975 年に「約 2 年で 2 倍
に倍増する」と変更予測したもの。) を指標にしながら今日まで着実に進化してきた。 具
体的には,世代ごとに 0.7 倍の寸法縮小が行われており,寸法が 0.7 倍になるということ
は面積が半分(0.7×0.7=0.49≒0.5)になることを意味している。 黎明期のFETのゲー
ト長は 10um であったが,15 年間で 1/10,30 年間で 1/100 になり,Table 1-1-3[6]に示す
ように既にゲート長が 40nm のLSIが量産され,ゲート長 30nm のLSIの受注が始まっ
-1-
ている。(2005 年 9 月に富士通㈱が 30nm 世代の製品の受注を開始した事をホームページ上
で公表しているものを転写。) また,固体物理学と量子力学を背景に研究段階ではすでに
5nm のゲート長のMOSFETが試作され,そのデバイス特性が報告されている。[7] 大
手半導体製造メーカでは,90nm ノード技術を用いた世代の製品を大量生産しており,05
年末頃から 65nm ノードの製品が生産され始め,45nm 世代の製品は 07 年末から 08 年にか
けて生産が開始されると予想されている。[8]
今日のLSIの代表である高性能ロジック
トランジスタの基本単位として使用されているMOSFETの基本構造を Figure 1-1-1
に示す。 MOSFETは,ソース・ドレイン間を流れる電流をゲート電極への電圧印加で
コントロール(オン・オフ)するものである。(詳細は,多くの教科書による解説[9][10][11]
[12][13][14]を参照。)
これまでのLSIに使用されてきた各種材料は,微細化とともに物理限界に達しはじめ
ており,ゲート絶縁膜のリーク電流(薄膜化に伴うトンネル電流),配線の信号遅延(配線
ピッチが狭くなることにより絶縁膜が容量を持つ事に起因)などが顕在化し始めているた
め,新しい材料を導入することなくLSIを微細化・高性能化を推進していくことは,不
可能と思われている。[15][16][17] ITRS(International Technology Roadmap for
Semiconductor)[18][19]によると,現在量産されている先端デバイスに用いられているリ
ソグラフィの加工寸法は hp 90nm(half pitch 90nm)世代と言われており,実際に作られて
いるゲート幅は,トリミング(スリミングとも言う)等のトリッキな技術によって既に 40nm
以下になっている。[6] ITRS2003[18]を基にして作成したロジックLSIのゲート幅
のトレンド(近未来予測)を Figure 1-1-2 に示すとともに,ゲート絶縁膜厚のトレンドを
Figure 1-1-3 に示す。 これより,ゲート絶縁膜の厚さは既に熱酸化膜換算で1nm 程度と
なり,ゲート絶縁膜を構成している原子を直接数えることが容易なレベルになっている。
なお,最新版のITRSとして,2005 年度版が公開されている[19]が,スケーリングに対
して新材料や新構造(メタルゲートや完全空乏型SOI,ダブルゲートなど)が複雑に投入
される事が予定されており,スケールダウンの様子が非常に複雑で,一望しづらいために
本論文ではITRS2003 の記述を用いている。 ここで各図中の High Performance:HP
とは,ワークステーションやサーバ,デスクトップパソコンなどに搭載されているMPU
(Multi Processor Unit)の様に非常に高性能で複雑であるために,大きな電力を消費する
事を許されている製品チップを想定している。(高性能LSIのトランジスタは,性能を最
重視するために,物理的なゲート長とトランジスタに係わるパラメータを急速にスケーリ
-2-
ングすることによって高性能を引き出している反面,リーク電流が非常に多い。) Low
Operating Power:LOP[動作時低消費電力]とは,大容量電池を有したノート型パソコン
に代表される比較的高性能なモバイル用途で,動作時の消費電力削減に注力したもの(高性
能のものに比べて幾分性能は劣るが十分に小さいリーク電流で動作),Low Stand-by
Power:LSP[待機時低消費電力]とは,小容量の電池を有する携帯電話等の様に,それほ
ど性能を要求されない民生用機器に使用さている,実現可能な最も小さい待機時の消費電
力(最も性能が低い一方で実現可能な最も小さいリーク電流)に重点が置かれている製品を
各々想定している。 一般的に低動作電力トランジスタのゲート長は,高性能トランジスタ
のものより 2 年遅れ,低待機電力トランジスタでは 3 年遅れのタイミングで生産されてい
る。(逆に言うと同じ高性能ロジックデバイスといっても目的によって作り分けられている
事を意味している。) ITRS[18]には次世代のデバイスを作成していく上で,新たな材
料(例えば,高誘電率ゲート絶縁膜,金属ゲート電極,低誘電率層間絶縁膜,歪シリコン等)
をテクノロジ ブースターと位置づけ,探索・実用化していかなければならない事が明記さ
れている。 特にプロセス導入歪(Strain)技術は,65nm ノードにおいて導入され始めてお
り,埋め込み(embedded)ソース・ドレイン構造を用いたpMOSのチャンネル方向に圧縮応
力を印加しオン電流を 25%以上向上させている事[20]を筆頭に埋め込みソース・ドレイン
構造の改良品[21],SOI(Silicon on Insulator)基板や結晶方位の違う基板との組み合
わせ[22][23]などが報告されている。 またnMOSの上に引っ張り応力を発生する窒化シ
リコン膜,pMOS上に圧縮応力を発生する窒化シリコン膜で各々覆ってチャンネル部に
応力を印加する事でオン電流を向上させる試み[24][25]などが報告されている。
65nm ノード以降のトランジスタ部におけるキーテクノロジと考えられている事項をま
とめて Figure 1-1-4 示す。 また,本報で取り扱うロジック,DRAM,FeRAMに関
してITRS[18]より抜粋したトレンドを Table 1-1-4,Table 1-1-5,Table 1-1-6 にま
とめて示すとともに,次世代のロジックトランジスタで採用が予想されている新材料のト
レンドを Table 1-1-7 にまとめて示す。 このように高度に集積化されたデバイスを実現す
るためには,デバイス構成材料の高純度化,製造装置の高精度,大口径化などとともにそ
れらとそのプロセスおよび既存プロセスとの整合性を精度良く評価する技術が大変重要と
なる事は疑う余地がなく,今後も新材料・新プロセスの登場とともにそれらを高精度,高
スループットで評価する技術は,開発期間の短縮,新プロセスラインの立ち上げ時に大き
く貢献することは明確である。また製品の信頼性を確保・保証するためにもデバイスを直
-3-
接または間接的に評価解析する新技術をプロセスとともに立ち上げていかなければならな
い。 また各評価技術間(同一評価技術はもとより異なる装置においても)の結果のトレーサ
ビリティも製品の早期開発や製品の安定供給のために非常に重要になると思われる。
半導体産業の黎明期より,光学的膜厚測定装置(エリプソメータ)や蛍光X線装置(膜厚測
定,不純物管理),光散乱を用いたパーティクル測定装置,湿式分析(誘導結合プラズマ発光
分析や原子吸光光度計,イオンクロマトグラフ等)などが光学顕微鏡とともに,プロセス管
理装置として一般的に用いられてきた。 また,走査型電子顕微鏡(Scanning Electron
Microscope:SEM)や全反射蛍光X線分析(Total Reflex X-ray Spectroscopy:TREX)
装置,誘導結合プラズマ質量分析装置(Inductively Coupled Plasma:Mass Spectrometry:
ICP-MS)などはすでにプロセスラインを維持していくために不可欠な評価設備とな
っている。 さらに,かつては研究開発を目的として,プロセス開発を支援する部署に置か
れていた透過型電子顕微鏡(Transmission Electron Microscope:TEM)や電子分光分析
装置(Auger Electron Spectroscopy:AES, X-ray Photoelectron Spectroscopy:XP
S),二次イオン質量分析装置(Secondary Ion Mass Spectroscopy:SIMS)でさえプロ
セスラインをルーチン的に評価するツールになり始めている。 特にTEMとSEMの中間
的な特徴を有する走査透過型電子顕微鏡(Scanning Transmission Electron Microscope:
STEM)は,SEMよりも高倍率・高分解能の像が観察できることからSEM感覚で不良
解析やプロセス開発にルーチン的に用いられ始めている。
(STEMがSEM感覚で用いら
れるようになった背景にはFIB(Focused Ion Beam)装置による試料作成技術の急速な進
歩と,STEMが結像レンズ系を必要としていないため色収差(透過電子が試料中で非弾性
散乱を起こし,わずかにエネルギーを失ったものが結像系でボケとなって現れる)の影響が
出づらいために比較的厚い試料でも観察できること,High Angle Annular Dark Field:H
AADF像による原子番号コントラスト像が取れることなどによっている。) 今日のデバ
イス特性を決定付けるFEOL周辺における主な評価項目とそれらを評価する際に利用さ
れている機器分析の関係を Table 1-1-6 にまとめて示しておく。 高価で高度機器分析装置
がその解析法とともにプロセス開発の現場に入り込み,ルーチン的に使用され始めてきた
ために,開発部門でこれらの評価手法を有効に使用していくためには,一般的な使い方で
はなく,分析原理に立ち返り,何らかの工夫を凝らした評価手法を開発し確立・実用化す
ることを目的とするか,深い解析力を駆使して微妙な情報を抽出するほかにその組織の存
在意義を示すことはできない。
-4-
Table 1-1-1 クラーク数の大きい元素[3]
O
Si
Al Fe Ca Na K Mg H
Ti
Cl Mn P
C
49.5 25.8 7.56 4.7 3.39 2.63 2.4 1.93 0.87 0.46 0.19 0.09 0.08 0.08
Table 1-1-2 半導体基板の物理定数と性質[4]
Atomic
weight
Si
GaAs
Ge
28.09
144.64
72.59
Melting
point
[℃]
1420
1238
937
[g/cm3]
Latice
constant
[nm]
2.328
5.32
5.3267
0.543086
0.56534
0.565748
Density
Band gap
[eV]
1.12
1.43
0.67
Mobility
Thermo
conductivity Electron
Hole
2
[W/cm・℃] [cm /V・sec] [cm2/V・sec]
1.45
0.46
0.64
Table 1-1-3 富士通が2005年9月に受注を開始したトランジスタの概要[6]
-5-
1500
8500
3900
600
400
1900
Gate
Gate
Drain
Source
STI
STI
Drain
シリサイド
ゲート絶縁膜
多結晶シリコン
SiO2
Source
STI : Shallow Trench Isolation
Figure 1-1-1 今日のCPUに用いられている電界効果トランジスタの基本構造。 ゲート電極は多結晶シ
リコンとシリサイド(CoSix)の積層構造で作られており,ゲート絶縁膜は窒素を含んだ酸化シリコン,
ソース/ドレインのコンタクト部は,シリサイド(CoSix)でできており,酸化シリコンを埋め込んだシャ
ロートレンチによって素子が分離されている。
Figure 1-1-2 International Technology Roadmap for Semiconductor (ITRS) 2003に記されている各世代
のMPUに用いられるゲート幅の推移[18]
-6-
Figure 1-1-3 International Technology Roadmap for Semiconductor (ITRS) 2003に記されている各世
代のMPUに用いるゲート絶縁膜のSiO2換算膜厚[18]
・SiON with abrupt nitrogen profile (High performance)
・HfSiON with abrupt nitrogen profile (Low leakage)
Offset spacer
Ni polyside or metal gate
Ni silicide
Drain
Source
STI
STI
Strained Si channel
Low temperature Source/Drain RTA
Figure 1-1-4 65nmノード以降のトランジスタに搭載されると思われるキーテクノロジ。
-7-
-8-
107
45
65
75
1.3
1.6
2.2
24.8
49.5
25
6.5
9
Silicide thickness[nm]
2
Contact silicide seet Rs (Ωcm )
Number of metal levels
EOT : Equivalent physical oxide thickness
2003
Year of Production
Technology Node
MPU 1/2 pitch [nm]
MPU Gate Length [nm]
LOP Gate Length [nm]
LSTP Gate Length [nm]
EOT(MPU) [nm]
EOT(LOP) [nm]
EOT(LSTP) [nm]
Drain extention Xj [nm]
Contact Xj[nm]
20
7.9
10
90
37
53
65
1.2
1.5
2.1
20.4
40.7
hp90
2004
解決策を模索中
21
7.5
11
80
32
45
53
1.1
1.4
2.1
17.6
35.2
2005
19
8.6
11
70
28
37
45
1.0
1.3
1.9
15.4
30.8
2006
17
9.6
11
65
25
32
37
0.9
1.2
1.6
13.8
27.5
hp65
2007
解決策が不明
16
10.0
12
57
22
28
32
0.8
1.1
1.5
8.8
NA
2008
Table 1-1-4 ITRSより抜粋した,ロジックデバイスのトレンド[18]
14
11.1
12
50
20
25
28
0.8
1.0
1.4
8
NA
2009
13
12.3
12
hp45
45
18
22
25
0.7
0.9
1.3
7.2
NA
2010
20
7.9
12
35
14
18
20
0.7
0.9
1.2
11.2
NA
2012
19
8.5
12
hp32
32
13
16
18
0.6
0.8
1.1
10.4
NA
2013
14
11.1
13
25
10
13
14
0.6
0.8
1.1
8
NA
2015
13
12.3
14
hp22
22
9
11
13
0.5
0.7
1
7.2
NA
2016
9
17.2
14
18
7
9
10
0.5
0.7
0.9
5.1
NA
2018
-9~500
~800
Deposition temperature (degree C)
Film anneal temperature (degree
C)
metal
~500
~800
Silicon
NO
~500
~750
Silicon
High-K
32Mb
stack
1T1C
2.5-3
2003
CSD : Chemical Solution Deposition
Technology Node
FRAM Product
Capacitor structure
Cell structure
Operation Voltage
Ferroelectric Materials
Deposition Methods
Year of Production
PZT : Pb(Zr, Ti)O3
64Mb
stack
1T1C
1.8
PZT, SBT, BLT
hp90
2004
256Mb
stack
1T1C
1.5
2006
256Mb
3D
1T1C
1.2
hp65
2007
解決策が不明
~500
~750
Silicon
High-K
512Mb
3D
1T1C
1.2
2008
~500
~750
Silicon
High-K
SBT : SrBi2Ta2O9
2010
2012
2013
2015
2016
512Mb
3D
1T1C
1.2
2009
~500
<750
Silicon
High-K
BLT : (Bi, La)4Ti3O12
1Gb
3D
1T1C
1
hp45
2010
1: Silicon
2 : Metal
~500
<750
High-K
Metal
50
New Material
Pedestal
MIM
32G
18
0.0016
=0.036×
2018
2Gb
3D
1T1C
1
2012
4Gb
3D
1T1C
0.7
hp32
2013
8Gb
3D
1T1C
0.7
2015
16Gb
3D
1T1C
0.7
hp22
2016
32Gb
3D
1T1C
0.7
2018
60
80
80
100
1: MIS/high-k, 2: MIM/high-k
Metal
Metal
Metal
Metal
Metal
1: Epi-high-k 1: Epi-high-k 1: Epi-high-k 1: Epi-high-k 1: Epi-high-k
2: High-K
2: High-K
2: High-K
2: High-K
2: High-K
1: Silicon
1: Silicon
1: Silicon
1: Silicon
1: Silicon
2: Metal
2: Metal
2: Metal
2: Metal
2: Metal
~500
~500
~500
~500
~500
~650
~650
~650
~650
~650
60
Pedestal MIM
hp45
hp32
hp22
4G
4G
8G
16G
16G
32G
50
45
35
32
25
22
0.015
0.012
0.007
0.006
0.004
0.003
=0.1×0.15 =0.09×0.14 =0.07×0.11 =0.064×0.1 =0.05×0.08 =0.044×
2009
50
50
Metal-Insulator-Silicon
Metal
Metal
Metal
50
4G
57
0.019
=0.114×
2008
PZT, SBT, BLT, New Materials
PVD, CSD, MOCVD
MOCVD, New Methods
128Mb
stack
1T1C
1.5
2005
Table 1-1-6 ITRSより抜粋した,FRAMのトレンド[18]
~500
~750
Silicon
High-K
40
50
Silicon-Insulator-Silicon
poly Si
poly Si
poly Si
22
解決策を模索中
Electrode metal : Ti, TiN, W, Pt, Ru, RuO2, IrO2
Silicon
NO
poly Si
22
Ta2O5, Al2O3, Others
Cylinder MIS Cylinder / Pedestal MIM
/ MIM
metal
Ta2O5, Al2O3
poly Si
Cylinder MIS
Stacked ON
Capacitor
Trench
Capacitor
2007
hp90
hp65
1G
1G
1G
2G
2G
100
90
80
70
65
0.08
0.065
0.048
0.034
0.030
=0.2×0.4 =0.18×0.36 =0.16×0.3 =0.14×0.25 =0.114×
Botom Electrode
High-k dielectric
Dielectric constant
Capacitor Structure
Upper Electrode
Botom Electrode
High-k dielectric
Upper Electrode
Capacitor Structure
Cell size(um )[C]
2
Technology Node
DRAM Product
DRAM 1/2 pitch [nm]
Table 1-1-5 ITRSより抜粋した,DRAMのトレンド[18]
Year of Production
2003
2004
2005
2006
Table 1-1-7 ITRSより抜粋した,ロジックデバイスのトランジスタ部に採用されると考えられている新材
料のトレンド[18]
First Year of Production
2003
Technology Node
2004
2005
hp90
2006
2007
2008
hp65
2009
2010
2011
2012
hp45
2013
hp32
2014
2015
2016
2017
2018
hp22
GATE DIELECTRIC
Oxynitride
Hf(Zr)-based high-k
N, Al, Si additions
Group Ⅲ(or RE) high-k
La2O3, Y2O3, …..and silicate
Ternary oxide
LaAlO3
Epitaxial dielectrics
LaAlO3
INTERFACE LAYER FOR GATE DIELECTRIC
Nitride oxide
No SiO2 interface oxide
GATE ELECTRODES
Poly Si oer poly Si-Ge
Metal 1 for NMOS
Ta/Ru, TaxSiyNz
Metal 2 for PMOS
Ru, Ru/Ta, Pt, Ir, Ni
Tools and methods for electrodes
CVD, ALD, PVD : clustered with
dielectric ;
inorganic and organic
NEW DEVICE STRUCTURES FOR ELECTRODES AND GATES
FD SOI
Dual-gated SOI
Vertical transistor
HIGH MOBILITY CHANNEL MATERIALS
Strained Si and Si-Ge-C
Research Required
Development Underway
Qualification/Pre -Production
Continuous Improvement
Table 1-1-8 FEOLにおける主な評価項目とそれを評価する機器分析法
Parameters
Surface condition (Morphology, Combination)
Gate dielectric (thicknness, components, density)
Metal contamination
Organic contamination
Atmosphere
Sutructure shapes(contact, gate, STI et al)
Damage(substrate, Inslulator)
Crystal condition
Impurity profile
Particle
Analysis Equipment
TEM, AFM, XPS, TOF-SIMS, FTIR
Ellipsometor, XPS, FXRFS, XRR
ICP-MS, TRXRFS, TOF-SIMS
GC-MS, TOF-SIMS
IC, GC-MS, FT-IR, APIMS
TEM, SEM, FIB
TEM
TEM, XRD
SIMS, LEXES
AES, SEM-EDX
*FIB is used to abstruct of sample for TEM and SEM.
- 10 -
2019
hp16
参考文献
[1] http://itpro.nikkeibp.co.jp/free/ITPro/USNEWS/20040206/140496/
[2] http://www.semicon.news.co.jp/forum/htm/ev050426.ihtm/
[3] 日本化学会編:改訂 3 版 化学便覧 基礎編Ⅱ(1984), 丸善
[4] 垂井康夫:電気学会大学講座 [改訂版]半導体デバイス,
電気学会
[5] G. E. Moore: Electronics, 38(1965)
[6] http://www.fujitsu.com/us/news/pr/fma_20050920-1.html
[7] H. Wakabayashi, S. Yamagami, N. Ikezawa, A. Ogura, M. Norihiro, K. Aritani,
Y. Ochiai, K. Takeuchi, T. Yamamoto and T. Mogami:Tech. Dig. Inter. Electron
Device Meeting (2003)989
[8] 杉井寿博:Semiconductor FPD World 4(2006)36
[9] S. M. Sze:Physics of Semiconductor Devices, John Wiley & Sons (1981)
[10] A. S. Grove:Physics and Technology of Semiconductor Devices (1967) John Wiley
& Sons
[11] 難波進,岸野正剛:半導体デバイスの基礎(オーム社)
[12] ㈱東芝セミコンダクタ社編:カラー版図解半導体ガイド(2001)誠文堂新光社
[13] 松浪弘之,尾江邦重:半導体材料とデバイス(2005)岩波書店
[14] 松本智:半導体デバイスの基礎(2003)倍風館
[15] 高木信一:電子情報通信学会誌,85(2002)788
[16] D. A. Antoniadis:Proc. Symp. VLSI Technology (2002)2
[17] 杉井寿博:応用物理,72(2003)1121
[18] http://strj-jeita.elisasp.net/strj/ITRS04-JP/Roadmap-040407.htm
[19] http://www.itrs.net/common/2005ITRS/Home2005.htm
[20] T. Ghani, M. Armstrong, C. Auth, M. Bost, P. Charvat, G. Glass, T. Hoffmann,
K. Johnson, C. Kenyon, J. Klaus, B. McIntyre, K. Mistry, A. Murthy, J. Sandford,
M. Silberstein, S. Sivakumar, P. Smith, K. Zawadzki, S. Thompson and M. Bohr:
Tech. Dig. Inter. Electron Device Meeting (2003)978
[21] Tetsuji Ueno, Hwa Sung Rhee, Seung Hwan Lee, Ho Lee, Dong Suk Shin,
Yun-Seung
Jin, Shigenobu Maeda and Nae-In Lee:Tech. Dig. Symp. VLSI Tech. (2005)24
[22] D. Zhang, B.Y. Nguyen, T. White, B. Goolsby, T. Nguyen, V. Dhandapani, J.
- 11 -
Hildreth, M. Foisy, V. Adams, Y. Shiho, A. Thean, D. Theodore, M. Canonico,
S. Zollner, S. Bagchi, S. Murphy, R. Rai, J. Jiang, M. Jahanbani, R. Noble,
M. Zavala, R. Cotton, D. Eades, S. Parsons, P. Montgomery, A. Martinez, B.
Winstead, M. Mendicino, J. Cheek, J. Liu, P. Grudowski, N. Ranami, P. Tomasini,
C. Arena, C. Werkhoven, H. Kirby, C.H. Chang, C.T. Lin, H.C. Tuan, Y.C. See,
S. Venkatesan, V. Kolagunta, N. Cave and J. Mogab:Symp. on VLSI(2005)26
[23] Qiqing (Christine) Ouyang, Min Yang, Judson Holt, Siddhartha Panda, Huajie
Chen, Henry Utomo, Massimo Fischetti, Nivo Rovedo, Jinghong Li, Nancy Klymko,
Horatio Wildman, Thomas Kanarsky, Greg Costrini, David M. Fried, Andres Bryant,
John A. Ott, Meikei Ieong and Chun-Yung Sung:Tech. Dig. Symp.
VLSI(2005)28
[24] K. Goto, S. Satoh, H. Ohta, S. Fukuta, T. Yamamoto, T. Mori, Y. Tagawa, T.
Sakuma, T. Saiki, Y. Shimamune, A. Katakami, A. Hatada, H. Morioka, Y. Hayami,
S. Inagaki, K. Kawamura, Y. Kim, H. Kokura, N. Tamura, N. Horiguchi, M. Kojima,
T. Sugii, K. Hashimoto:Tech. Dig. Inter. Electron Device Meeting (2004)209
[25] E. Leobandung, H. Nayakama, D. Mocuta, K. Miyamoto, M. Angyal, H. V.- Meer,
K. McStay, I. Ahsan , S. Allen, A. Azuma, M. Belyansky, R.-V. Bentum, J. Cheng,
D. Chidambarrao, B. Dirahoui, M. Fukasawa, M. Gerhardt, M. Gribelyuk, S. Halle,
H. Harifuchi, D. Harmon, J. Heaps-Nelson, H. Hichri, K. Ida, M. Inohara, K.
Inoue, K. Jenkins, T. Kawamura, B. Kim, S.-K. Ku, M. Kumar, S. Lane, L. Liebmann,
R. Logan, I. Melville, K. Miyashita, A. Mocuta, P. O'Neil, M.-F. Ng, T. Nogami,
A. Nomura, C. Norris, E. Nowak, M. Ono, S. Panda, C. Penny, C. Radens, R.
Ramachandran, A. Ray, S.-H. Rhee, D. Ryan, T. Shinohara, G. Sudo, F. Sugaya,
J. Strane, Y. Tan, L. Tsou, L. Wang, F. Wirbeleit, S. Wu, T. Yamashita, H. Yan,
Q. Ye, D. Yoneyama, N. Zamdmer, H. Zhong, H. Zhu, W. Zhu, P. Agnello, S. Bukofsky,
G. Bronner, E. Crabbé, G. Freeman, S.-F. Huang, T. Ivers, H. Kuroda, D. McHerron,
J. Pellerin, Y. Toyoshima, S. Subbanna, N. Kepler, and L. Su:Tech. Dig. Symp.
VLSI(2005)126
- 12 -
1-2
LSIのテクノロジの進化のトレンドと評価技術の重要性
LSIのテクノロジトレンドは,欧州電子部品工業会(European Semiconductor Industry
Association:ESI),(社)電子情報技術産業協会(Japan Electronics and Information
Technology Industries Association : J E I T A ), 韓 国 半 導 体 産 業 協 会 ( Korea
Semiconductor Industry Association : K S I A ), 台 湾 半 導 体 産 業 協 会 ( Taiwan
Semiconductor Industry Association:TSIA),米国半導体工業会(Semiconductor
Industry Association:SIA)の共著として広く公開されているITRS[1][2]にプロ
セスおよびそれらを支えるテクノロジ毎に仔細に渡って記載されており(現在の半導体プ
ロセスは,大きくFEOL(Front End of Line : トランジスタを作成し最初の金属配線ま
で)とBEOL(Back End of Line : FEOL以降の全工程)に大別されている。),数年お
きに更新されている。 ITRSの原文(英文)の著作権は,米国半導体工業会(SIA)が持
っており,(社)電子情報技術産業協会 半導体技術ロードマップ専門委員会が日本語版への
翻訳を行っている。
LSI産業に関わる全ての業界(LSI製造メーカ,製造装置メーカ,LSI材料メーカ
等)全体としていつまでに何をすればよいのかについては,このロードマップを一読すれば
明らかになり,ここに記されているトレンドに追随できない時が業界内での競争から脱落
していく時か,特別な付加価値のあるLSIの市場を独自に切り開いていかなければなら
ない時であると考えられる。(市場規模の大きさで Intel 社(約 14%のシェア握っている)
が最もロードマップ記載事項に対して影響力を持っていると考えられており,時には
Intel 社のためのロードマップなどと揶揄する声も聞こえている。)
LSIは,
①高品質(高純度)の結晶基板を作成し鏡面に仕上げる技術と装置。
②大規模な集積回路を設計するとともにその動作をシミュレーションし,配置を決めて
マスクを作り上げる技術と装置。
③基板上の集積回路を性格に形成するための露光,エッチング,各種成膜,イオン注入
技術と装置。
④クリーンルーム,クリーン化装置や純水,各種ガス・薬品,ターゲット金属などの補
助材料とその精製技術と装置。
⑤基板上に形成した集積回路を実装パッケージ,組立てる各種材料を含めた技術と装置。
⑥完成した集積回路のテストを含めた検査技術と装置。
- 13 -
など多岐にわたるテクノロジに支えられているため,その技術の裾野は非常に広範囲にわ
たっている。
LSIの微細化は,デバイスが高密度化すると同時にその性能も向上し,大規模システ
ムがワンチップ化することによる機能単価の低下といった恩恵を受けられることがドライ
ビングフォースになって推し進められてきた。 しかし,トランジスタ性能を維持したまま
デバイスサイズ(チャンネル長[L],チャンネル幅[W],ゲート酸化膜厚[Tox])と電源電圧
[Vcc]が1/κになると,集積度と消費電力が 1/κ2 になり,ソース-ドレイン電流[Isd]とゲ
ート容量[Cg],回路遅延[τ]が 1/κになって性能が上がる一方で,配線の電流密度と配線
抵抗[R]が κ 倍になり,コンタクト抵抗が κ 2 になるという問題点も見えはじめてきた。
(Figure 1-2-1 参照) 微細化によって影響される各種パラメータの詳細については,
Appendix1として巻末にまとめて記しておく。
ITRS[1]のによれば半導体デバイスのゲート幅は既にFIB(Focused Ion Beam)で作
成されるTEM(Transmission Electron Microscopy)やSTEM(Scanning Transmission
Electron Microscopy) の 試 料 厚 さ (<100nm) よ り も 細 く な り , 近 い 将 来 A E S (Auger
Electron Spectroscopy)のプローブ径(10nm)に近づきはじめ,ゲート絶縁膜の厚さはすで
にAESやXPS(X-ray Photoelectron Spectroscopy)等の電子分光分析の信号深さ
(10nm)よりもはるかに薄くなるとともにSIMS(Secondary Ion Mass Spectroscopy)な
どのプローブイオンの進入深さより浅くなっている。(前者のゲート幅のスケーリングは
STEMやAESなどのマイクロプローブを用いて,半導体デバイスを直接評価すること
が困難になり始めていることを意味しており,後者の信号深さよりデバイスを構成する材
料の厚さが浅くなることによって,膜中の成分元素の分布の評価が困難になる代わりに,
膜厚測定が可能になり,下地との界面の評価が可能になるという長所もある。) 一般に知
られている分析評価技術がLSIのパタン寸法縮小の速さに追随してゆくことは困難で
あり,将来に向けてのデバイス設計(これからのデバイスで採用される材料など)が明確に
なっていない事が,そのプロセスを評価する分析技術の発展方向を不明確なものにしてい
る。 このため,将来のナノデバイスからの明確なる要求が,新しい測定方法を生み出し,
ナノデバイスが,新評価方法の試験台になる可能性が大きいと思われる。 このような状
況の元で電子分光分析を用いて半導体の材料の評価を行っていこうとすると,各測定手法
と材料の性質を熟知した上で,工夫を凝らした測定評価技術が必要となる。 また,各評
価手段の特徴を生かし複数の評価技術を有機的に組み合わせて電気特性を裏付ける物性
- 14 -
を明らかにしていかなければならない。 また,デバイスの微細化に伴いたとえば線幅の
計測値や構成成分の濃度,膜厚などが評価測定方法や装置によってばらつくようでは高性
能のデバイスを安定して大量に生産することができないため評価測定装置間のトレーサ
ビリティが重要な意味をもってくるとともに,デバイス構成材料の物理限界ぎりぎりのと
ころで作成される素子を構成する,各種材料のさまざまな性質を的確に重要となる。マイ
クロプローブを用いた評価法では,非常に小さな領域にプローブが集中されて照射される
ため分析対象が変質してしまうという事態もすでに問題になっている。(たとえば電子線
による酸化シリコンの還元[3][4][5]や低誘電率の層間絶縁膜のFIB加工時やSTEM
観察,SEM観察時のダメージ[6]などはすでに問題になっている。),
参考文献
[1] http://strj-jeita.elisasp.net/strj/ITRS04-JP/Roadmap-040407
[2] http://www.itrs.net/Common/2005ITRS/Home2005.htm
[3] 中村誠,鈴木峰晴,電子材料分科会:J. Surf. Anal. 2(1996)398
[4] 中村誠,岸田悟,鈴木峰晴,表面分析研究会:表面科学 18(1997)473
[5] 木村隆,田沼繁夫,井上雅彦,鈴木峰晴,橋本哲,三浦薫:表面科学 23(2002)450
[5] 川田洋揮,高見尚,諸熊英俊,野副真理 : 日立評論 85(2003)23
- 15 -
1-3
LSIを構成する薄膜評価技術の現状
微細なLSIを大量生産して行く上で,それらを検査,評価,分析する技術が非常に重
要になってきている。 なぜなら,微細化が推し進められるとともに,加工不良や欠陥に対
する許容範囲が小さくなっていくために,高い歩留まりを維持したままで量産していくの
が困難になりはじめているからである。
LSIを構成する薄膜の評価は,大きく分けてインライン評価(工程内の評価で評価後試
料をラインに戻す)とオフライン評価(モニタ試料を評価し評価後は工程に戻さない)に大
別できる。 前者は,エリプソメータによる膜厚測定や,光学顕微鏡による異物検査,CD
(Critical Dimension)-SEM(Scanning Electron Microscopy)によるパタン寸法(形状)
評価に代表され,目的とする評価を行った後に再び試料(ウエハ)を工場のラインに戻す評
価である。 後者は蛍光X線分析による膜厚や成分(濃度)管理,全反射蛍光X線分析や湿式
分析(誘導結合プラズマ質量分析法(Inductively Coupled Plasma Mass Spectrometry :I
CP-MS)など)による汚染管理,FIBによる結晶粒径の管理,断面SEMによる形状管
理,SEM-EDX[EDS](Energy Dispersive X-ray Spectroscopy)によるごみの評価
などプロセスラインの管理ツールと表面分析(二次イオン質量分析法,X線光電子分光分析
法,オージェ電子分光分析法,X腺反射率測定法など)や電子顕微鏡(TEMやSTEM等)
や 走 査 型 プ ロ ー ブ 顕 微 鏡 (Atomic Force
Microscope : A F M , Scanning Tunneling
Microscope:STM,Scanning Capacitance Microscope:SCMなどを称して Scanning
Probe Microscopy:SPM)などプロセスラインに密着した開発部門での評価で,評価のた
めに抜き取られた試料(ウエハ)は二度と工場のラインに戻さない評価である。
最近ではウエハ内のモニタ箇所をFIB装置内に装備された微小プローブでピックアッ
プして,STEM試料として取り出して観察を行い,残りのウエハをラインに戻すという
提案もなされているようであるが,どの程度一般化しているのかについては不明である。
(FIB装置の搬送系からの汚染がなかったとしても加工に用いるガリウム,試料を構成
する金属の付着,加工の保護膜としてのタングステンや白金(炭素を保護膜にすればこの問
題は少なくなる。),加工断面に露出したデバイス構成金属がラインに持ち込まれることは
非常に大きな問題になるためである。)またスループット向上のためにFIBとSTEMを
同一ホルダで行う試みや,FIB内にSTEM機能を搭載する試みまでも既に実現されて
いる。
- 16 -
1-3-1
電子プローブを用いた分析手法とLSIプロセスの評価
電子プローブを用いた分析手法の特徴は,走査プローブ顕微鏡(SPM)とともにそのプ
ローブが,今日のデバイスサイズより小さい点に特徴があり,もっとも古くから多くの分
析装置が産業界に導入されている。
SEM(CDSEMを含む)は,数十年も前から全ての半導体工場でその加工形状(レジス
トの形状やエッチング形状など)を観察する目的で導入されており,加工が設計どおりに行
われているか,そのばらつきは許容範囲の中に入っているのかといったインライン工程管
理を目的としたCDSEMが用いられているほか,超高分解能で形状を観察する目的でイ
ンレンズ型のSEM(日立 S4800 では二次電子分解能で 1.0nm[15kV],1.4nm[1kV]を保障
[1]。)がオフラインで用いられており,すべてのエンジニアが自由に利用できる環境が整
備されており,製造装置とともにプロセス管理や開発に不可欠なツールになっている。(当
初のSEMは,光学顕微鏡で見えないところをおぎなうものであったが,デバイスサイズ
の縮小に伴い現在では原子を直視する寸前の分解能を駆使することが必要とされている。)
また,SEM-EDXは,直接LSIの評価に使用するには少々能力が劣っている(ビーム
は絞れても,その信号発生領域が評価対象に比べてはるかに大きいため)が,プロセス内で
発生した異物やごみを簡易的に評価することに用いられているほか,比較的解析対象が大
きなバンプ工程やパッケージング工程などのアセンブリ工程では必要に応じて使用されて
いる。
TEM(STEM)は,原子レベルの分解能を有するために近未来まで見渡し最も有効な
唯一(他に代換手段が無い)の評価手段と言える。 20 年前に半導体の評価に採用され始め
たころは,複雑な軸合わせに加えて試料作成の困難さと難解な結晶学の知識が必要である
と考えられていため,試料を選別して最小限のものを専門家の手にゆだねてTEMで観察
するといった非常にありがたい存在であった(逆に昔はSEMでほとんどの仕事が間に合
ったとも考えられる)が,FIBによる試料作成技術の進歩(任意箇所の取り出しに 1~2
時間でSTEM試料を作成可)と,STEM(HAADF:High Angle Annular Dark Field)
の登場によって,SEMでは小さすぎて評価できない微小領域をSEM感覚で評価する事
を目的として使用されはじめている。STEMは,原理的に投影レンズ系を有さないため,
色収差の影響を受けづらく,厚い試料でも解析に耐えうるため,FIBを用いた試料作成
においでも比較的熟練を要しない。 実際,LSIプロセス内でTEM観察が必要とされて
いる試料の中で8割以上は,形状評価・測長や不良解析であり,目的箇所の形状とEDX
- 17 -
等による分析ができれば,旧来の結晶学的な知識はほとんど必要としない。(ただし,測長
を目的とする場合は,入射軸を調整する(垂直入射を確認する)目的で回折像を見る必要が
ある。また,STEMは通常,分析機能(EDXやEELS)を搭載しているのでサブナノ
メートル領域の元素分析(分布)が可能であるとともに,格子像を撮ることができる(TEM
には劣るものの)ため,分解能的にもTEMに匹敵していると考えられる。このため,SE
MのオプションとしてSTEM検出器が準備されている場合や[1],FIB加工面を観察す
るSEMのオプションとしてSTEM検出器が準備されているものが[2]市販されている。
半導体デバイスを評価するうえでSTEMは非常に有効なツールであることは間違い
ないが,FIB加工で作られる試料厚さ(~100nm)に対してデバイスのパタンサイズが小さ
くなり始めており(Figure 1-1-2 に示すように,現在量産されているデバイスの加工ルー
ルは 90nm(hp90)で,ハイパフォーマンスデバイスのゲート幅はその半分の 40nm 前後にな
っておりFIBで仕上げる膜厚の半分以下になっている。),目的箇所のみを抽出するのが
困難になりはじめてる。 FIBで仮に薄い試料を加工できたとしても,30kV のガリウム
イオンをプローブに用いた場合,その加工側壁でのダメージ層は 20nm 程度と言われており
[3],FIBでより薄い試料を作成するほどTEM試料全体の中に占めるダメージ層の割合
が増す(仮に 100nm の試料を作っても両サイドに各々20nm のダメージ層があるため実際の
試料は 60%しかない)ため,STEMで何を観察しているのかわからなくなり始めている。
Figure 1-3-1 にフリーウエアで公開されている固体中のイオンの飛程をモンテカルロ計算
するソフト(SRIM)[4]を用いて 30kV に加速された Ga+をシリコンターゲットに 1000 個
入射した場合の計算結果を示している。これより,Ga+の進入は 20~40nm であり,多くは
<20nm で停止しており,Ishitani らの報告[3]と非常に良く一致していると考えられる。
このような理由で,原子レベル分解能を持つTEMやSTEMを有効に生かすために,今
後の試料作成技術の進化に期待するところが大きい。
(FIBによるダメージ層を加工後に
除去する試みも報告されている。[5][6][7])
AESは,デバイスサイズに対してプローブ系がかろうじて小さい程度であるために,
直接デバイスを評価するのが困難になってきている。(最先端の装置で 6nm(20kV, 1nm)[8])
AESの実効的なプローブ径は,SEM-EDXとSTEMの中間的な値であると考えられ
てきたが,STEMの普及とともにその有用性にかげりが見え始めている。
(なぜなら自動
化や装置性能の向上でFIBによるSTEM試料作成が比較的容易になってきたために,
プロセス現場のエンジニアは,すこしでも像が鮮明に見えるSTEMでの評価を好んで行
- 18 -
っている。) Ultra Shallow Junction の国際会議などで,仕事関数の違いを利用してドー
パントの分布の評価が可能であるとの報告[9][10][11]等もあったが,デバイスサイズの微
細化が急速であるため対応仕切れていない。 (実デバイスでは,90nm テクノロジの最も浅
いエクステンション部の深さが 20nm であり,AESのプローブ系の 3 倍程度である。) ド
ーパントの分布を評価するには,STM[12][15]やSCM[14][15][16][17][18],SSR
M(Scanning Spreading Resistant Microscopy)[19]などSPMファミリーとTEMにバイ
プリズムを搭載した電子線ホログラフィによる評価[20][21][22]に頼らざるを得ない。 A
ESの電子プローブが仮にデバイスのレベルに耐えうる微小部の分析ができるとしても実
際のデバイスは立体構造を有しているため Figure 1-3-2 のようにプローブが思わぬところ
を励起するために,目的箇所からだけの信号を抽出するのは容易ではない。 たとえば,ア
スペクト比が大きいホール内を分析しようとした場合,底部までプローブ電子が入ること
ができないため信号が出ないばかりか,仮に底部までプローブが到達できたとしても
Figure 1-3-2(a)のように弾性散乱電子がホールの側壁やホール周辺を励起するため目的
信号上にオーバーラップして観察される。 また,配線表面の分析を試みたとしても Figure
1-3-2(b)に示すように試料内に進入した電子は,配線側壁や配線の無い領域を励起して目
的信号上にオーバーラップするため解釈を複雑なものにする。 さらに平坦な領域の異物を
分析しようとしても Figure 1-3-2(c)に示すように周辺の基板を分析することになるため
異物のみの信号を抽出することができない。 深さ方向分析に活用先が考えられるが,半導
体デバイスは多くの異なる材料で構成されており,その表面も平坦ではない上に異種材料
が高密度に存在するためにスパッタ速度の違いが深さ方向分析を困難にしてしまうため,
実デバイスを評価するのは非常に難しい。 FIBによる断面加工を併用して露出面を分析
するというアプリケーションも考えられるが,FIBで断面を出すのであれば,断面SE
Mで解析を行うことや,わずかな手間を加えてSTEM-EDXで解析したほうがより鮮
明な写真が得られるとともにより小さな領域の分析できるためAESは,今日の半導体プ
ロセスをサポートするという立場では非常に苦しい立場にあると考えられている。
1-3-2
X線プローブに用いた分析手法とLSIプロセスの評価
X線をプローブにした分析手段の特徴は,他の手法に比べて最も試料ダメージが小さい
ことである。 しかし,デバイスの微細化に伴い早くから直接デバイスを評価することが不
可能であったため,広範囲で高い感度を高スループットで分析することにその活路を見出
- 19 -
している。
蛍光X線分析(XRF)は,最も自動化が進んでおり,金属膜や絶縁膜などの膜厚管理や
全反射蛍光X線(TRXRF)を利用したプロセス汚染の簡易管理ツールとしてライン近傍
で不特定多数のユーザーに開放されて利用されている。 半導体プロセスは多くの個別プロ
セスで構成されており,それぞれのプロセスの前後には必ず洗浄プロセスを配して,汚染
を次のプロセスに持ち込まないように工夫されているが,プロセスの変更や新規材料の投
入などによって汚染に関する均衡が破られる時がある。このような時に,従来は湿式分析
を行ってきたがそのコストと時間,専門家の育成を行うよりもルーチン的に全反射蛍光X
線分析を行うことで汚染の感触(汚染量とその分布(ウエハ面内分布))をつかみ,大まかな
ふるいをかけることができるために大幅な時短・低コスト化が実現される。今後,テクノ
ロジブースターとして新材料が次々に投入されることは必至であるため更なる活躍が期待
される。
X線の反射率測定(XRR)は,試料の表面及び界面の凹凸や密度といった情報が得られ
る点で時として非常に有効な情報を得ることができるが,膜構造モデルに基づくシミュレ
ーションに専門的な知識が必要とされるため,一般的になりきれていない。 また,1nm 前
後のゲート絶縁膜等を実験室レベルの装置で測定しようとすると,十分なダイナミックレ
ンジが取れないため,必要十分な情報を得るのが困難である。 このため,必要に応じてシ
ンクロトロン放射光を用いた反射率測定のアプリケーションまで報告されている。
[23][24])
XPSによる評価は,その特徴である化学状態分析には知識と経験が必要であるが,ハ
ードウエアが自動化されてきて分析のスケジュール化ができるようになってきたため素性
の知れた薄膜の膜厚測定地や組成の算出を目的とする場合は,工程管理のルーチン評価装
置になり始めている。(高度な知識を有する専門家が条件やパラメータを決めておけばよ
い。) たとえば,ReVera Inc.(Physical Electronics Inc.からスピンアウトして設立)が
販売しているXPS装置 RVX1000/5000 は 300mm ウエハ全面の膜厚と組成を短時間に再現性
良く測定解析することを目的にカスタマイズされており,ルーチン評価装置として量産工
場で稼動している。 具体的には,MOSFETの心臓部であるゲート絶縁膜が酸化シリコ
ンから,酸窒化シリコンにそして高誘電率膜へと変化して行く過程で,これまで用いてき
たエリプソメータによる評価では,膜厚変動と組成変動を分離して管理できないために,
元素の存在量情報を独立抽出できるXPSによる評価が必要になってきたためである。 同
- 20 -
様に Thermo Electron Corp.でも 300mm ウエハ対応の自動分析装置を販売している。 しか
し,各種材料の化学状態の解析や特殊な評価には未だ経験と知識が必要になる点は従来と
変わらない。 さらに特殊な計測モードや解析技術がルーチン測定になるにはまだまだ装置
開発とソフトウエア開発,ノウハウの蓄積が必要である。
1-3-3
イオンをプローブに用いた分析手法とLSIプロセスの評価
イオンをプローブにしたLSI分析手段もX線同様に直接に実際のデバイスを評価する
ことはできない。 しかし Ga+を用いたFIBの登場でLSIの評価ができる場合もある。
イオンプローブの分析装置では,その初期から今日に至るまでSIMSが,基板中の不
純物濃度と接合深さを決定する唯一孤高の装置として,まさにLSIとともに進化してき
た。接合深さの薄層化に対しても,フローティングイオン銃(高加速電圧でイオンを引き出
した後で減速する方式)による低加速電圧(数百 eV)化をタイムリーにはかるなどして対応
してきた。 しかし,ゲート絶縁膜の様に試料厚さが1nm 程度になり,その中で各種原子
が複雑に分布しているさまを評価するのは,非常に困難になり始めた。 なぜなら,SIM
Sの測定は,本質的にイオンミキシングを抑えることができないこと,プローブと試料表
面構成原子が平衡状態になる(SIMSではプローブイオンが試料に注入または試料表面
を覆い平衡状態になったところから意味のあるデータになると考えられるため)前に 1nm
の試料が無くなる可能性があること,組成変化とともにイオン化効率やスパッタ収率が大
きく変化するため%オーダーで濃度変化をする材料に対応するのが困難である事などに起
因しているためである。 ここで,1-3-1において,FIBのプローブの飛程を計算した
SRIMを用いて 500eV のエネルギーを持つ酸素イオン(O+)がシリコンに進入した際の飛
程をシミュレーションした結果を Figure 1-3-3 に示す。(実際のSIMSではプローブは
O2+であるため進入深さはさらに浅いと考えることができる。) これより数 nm は完全にプロ
ーブが入りきっており,分析が容易でないことが推測される。 また,比較的均一で扱いや
すかったシリコン基板中のドーパント不純物の濃度評価においてさえ,その濃度が高濃度
(パーセントオーダ)になり始めこれまで蓄積した技術だけでは簡単に計測できなくなり始
めている。(高濃度領域でのスパッタ速度の変化と,広い範囲(高濃度から低濃度まで)に対
応したダイナミックレンジを安定に確保することが難しいため) 実際SIMSの供給メー
カの代名詞とも言えるCAMECA社は,数 nm 領域のプロファイルが重要になる次世代L
S I の プ ロ セ ス 評 価 ツ ー ル と し て L E X E S (Low energy Electron induced X-ray
- 21 -
Emission Spectrometry)[25]を提案しており,SIMSによる評価は,困難に直面してい
る可能性がある。 SIMSも最先端のLSIではない工場(実は減価償却が終わり最も収
益を上げている)の工程管理という立場に立てば,XPS同様に自動化が進められており,
最先端のデバイスでさえなければ大口径化にも対応され始めルーチン測定ができる環境が
整ってきた。(たとえばCAMECA社の IMS WfやSIMS4600 は 300mm ウエハに
対応している。)
ラザフォード後方散乱法(Rutherford Backscattering Spectrometry:RBS)は,近年の
高エネルギー分解能化により,HR(High Resolution)-RBSまたは,MEIS(Medium
Energy Ion Scattering)と呼ばれ,ゲート絶縁膜のようなナノメートル薄膜の評価も可能
になってきたが,広く知られている絶対定量ができ,密度や結晶性の評価ができると言う
理想的な唄い文句を達成するには未だ解決すべき問題が多く,ルーチンで自動分析できる
状況まで成熟できていないように思われる。
- 22 -
Ga+
Target Depth [nm]
0
100
40
0
Lateral Range [nm]
Figure 1-3-1 SRIMを用いてFIB加工時にシリコン中に進入するGa+(30kV)の飛程を見積もった結果。
(1000個のガリウムイオンを通常のFIB加工時の加速電圧である30kVで試料法線方向から照射)
試料右半分は,加工されてなくなっていると考え右側の20~40nmがGaの進入範囲。
(1)コンタクトホールの底 (2)配線パタンの組成
(3)異物組成
Auger電子発生領域
0.2um
Figure 1-3-2 サブクオーターミクロンデバイスを電子プローブ(AES)で分析する際の問題点。(a)コンタク
トホール内を分析する場合,(b)配線パタン上を分析する場合 (c)微小異物を分析する場合。
O+
Target Depth [nm]
0
20
5
0
5
Lateral Range [nm]
Figure 1-3-31 SRIMを用いてO+がシリコン中に進入際の飛程を見積もった結果。
- 23 -
参考文献
[1] http://www.hitachi-hitech.com/em/fe_sem/s4800.htm
[2] http://www.fei.co.jp/products/sdb.html
[3] T. Ishitani, H. Tuboi, T. Yamaguchi and H. Koike : J. Electron Microscopy
43(1994)322.
[4] http://www.SRIM.org
[5] 松田竹善:まてりあ,42(2003)916.
[6] 藪崎こずえ,佐々木宏和:古川電工時報,110(2002)77.
[7] 村田薫:日本顕微鏡学会第 61 回学術講演会(2005)IH-07
[8] http://www.ulvac-phi.co.jp/jp/phi700.htm
[9] W. S. M. Werner, H. Lakatha, H. E. Smith, L. LeTarte, V. Ambrose and J. Baker :
J. Vac. Sci. Tech. B16(1998)420
[10] D. Venables, H. Jain and D. C. Collins : J. Vac. Sci. Tech. B16(1998)362
[11] JEOL Application note 85(オージェマイクロプローブによる仕事関数の測定とマ
ッピング)
[12] Jeong Young Park, E. D. Williams andR. J. Phaneuf:J. Appl. Phys., 91(2002)3745,
Jeong Young Park and R. J. Phaneuf:Appl. Phys. Lett., 82(2003)64
[15] Hidenobu Fukutome, Hiroshi Arimoto, Shigehiko Hasegawa and Hisao Nakashima:
J. Vac. Sci. Tech., B22(2004)358
[14] Kenjiro Kimura, Kei Kobayashi, Hirofumi Yamada, Kazumi Matsushige and Koji
Usuda:J. Vac. Sci. Tech., B23(2005)1454
[15] R. Mahaffy, C. K. Shih and H. Edwards:J. Vac. Sci. Tech., B18(2000)566
[16] F. Giannazzo, S. Mirabella, F. Priiolo, D. Goghero and V. Raineri:J. Vac.
Sci Tech., B22(2004)369,
[17] Xang-Dong Wang, Chun-Li Liu, Aaron Thean, Erika Duda, Ran Liu, Qianghua Xie,
Shifeng Lu, Alex Barr, Ted White, Bich-yen Nguyen and Marius Orlowski:J. Vac.
Sci. Tech.,(2004)373]
[18] Kenjiro Kimura, Kei Kobayashi, Hirofumi Yamada, Kazumi Matsushige and Koji
Usuda : J. Vac. Sci. Technol. B23(2005)2480.
[19] P. Eyben, D. Alvarez, M. Jurczak, R. Rooyackers, A. De Keersgieter, E. Augendre
- 24 -
and W. Vandervorst:J. Vac. SCi. Tech., B22(2004)364.
[20] Zhou-Guang Wang, Naoko Kato, Katsuhiro Sasaki, Tsukasa Hirayama and Hiroyasu
Saka : J. Electron Microsc.(Tokyo),53(2004)115, Zhouguang Wang, Takeharu Kato, ,
Tsukasa Hirayama, Katsuhiro Sasaki, Hiroyasu Saka and Naoko Kato : J. Nac. Sci.
Technol. B21(2003)2155, Zhouguang Wang, Katsuhiro Sasaki, Naoko Kato, Kenya
Urata,
Tsukasa
Hirayama
and
Hiroyasu
Saka
:
J.
Electron
Microsc.(Tokyo),50(2001)479, 王州光,平山司,加藤直子,佐々木勝寛,坂公恭:
まてりあ 14(2002)892.
[21] Alexander E. Thesen, Bemhard G. Frost, David C. Joy : J. Vac. Sci. Technol.,
B20(2002)3063.
[22] B. G. Frost, A. Thesen, D. C. Joy, Bredan Brand and Karin Brand : J. Vac.
Technol., B22(2004)427
[23] Naoki Awaji, Yoshihiro Sugita, Satoshi Ohkubo, Toshiro Nakanishi, Kanetake
Takasaki and Satoshi Komiya : Jpn. J. Appl. Phys., 34(1995)1013.
[24] Naoki Awaji, Satoshi Ohkubo, Toshiro Nakanishi, Yoshihiro Sugita, Kanetake
Takasaki and Satoshi Komiya : Jpn. J. Appl. Phys., 35(1996)L67.
[25] P. –F. Staub, C. Hombourger and M. Schuhmacher : J. Vac. Sci. Tech.,
B20(2002)436.
- 25 -
1-4
本研究に使用した電子分光装置
1-4-1
オージェ電子分光装置(AES)
オージェ電子は,1923 年に P. Auger が Wilson の霧箱実験で発見したもので,固体の
内殻電子を何らかの方法(電子,イオン,X線などの照射)で励起すると固体から放出される。
オージェ電子は,内殻電子が励起される事により,内殻の電子軌道に空孔が生じた時,そ
れより外側の軌道にいる電子が,その空孔に遷移し安定化する際にそのエネルギー差を他
の電子に与え,エネルギーを受け取った電子は,原子核からの束縛を振り切って固体外部
に放出される電子のことである。(特性Ⅹ線の発生機構とトレードオフの関係になってい
る。) つまり,オージェ電子のエネルギーEa は以下の式で記述できる。
(K 殻に空孔ができ,
L 殻の電子が K 殻に遷移し,L 殻の電子がオージェ電子として放出される場合)
E a = E k − El − E 'l −φ
ここで,Ek,El は各々k 軌道,l 軌道のエネルギー準位,φは仕事関数を表している。 各
軌道のエネルギー準位は元素や各元素の化学結合状態を反映しているためオージェ電子の
エネルギー値を測定することにより元素の同定ができ,電子軌道が周辺の原子の種類によ
って変化すると,それがオージェ電子の運動エネルギーの変化として観察されるために化
学状態の推定ができる。 また,オージェ電子分光分析装置という時は,通常電子線励起の
ものを指している。 このため微小部にプローブを照射できることができるため微小部の組
成を知ることができる。 また,イオンスパッタリングを併用することで深さ方向の分析を
行うことも可能となる。 オージェ電子分光(AES)についての一般的な解説は,多くの教
科書が出版[1][2][3][4][5][6]されているので,詳細はそちらに譲りたい。
本報に使用したオージェ電子分光装置は,1991 年に Physical Electronics Inc.よりリ
リースされた Model670 をベースに,プローブ径を小さくすべく数年後にマイナーチェンジ
(8 極の集束レンズを有する)された Model670xi であり,その特徴を Table1-5-1 に示す。
(最新機種では,イオン銃のデジタルコントロールとフローティングによる低エネルギー
化,マニュピレータのユーセントリック化,防音槽への組み込み等が行われており,更な
る微小領域分析が可能になっている。[7])
1-4-2
X光電子分光装置(XPS)
X線光電子分光(XPS)は,Einstein の光電効果に基づくものであり,エネルギーhν
の光(X線)を固体試料に照射すると,Ek の運動エネルギーを持った電子(光電子)が光電効
- 26 -
果で放出され,その電子の持つ運動エネルギーは,固体試料を構成する元素種やその周辺
を構成する元素の種類の情報を持っているので,固体試料の元素種を推定することができ
るというものである。 電子の持つ運動エネルギーEk は以下の式で表される。
E k = hγ − Eb − φ
ここで,Eb は,電子が原子核に束縛されているエネルギーであり,φは仕事関数である。
各軌道のエネルギー準位は元素や各元素の化学結合状態を反映しているためオージェ電
子同様に光電子のエネルギー値を測定することにより元素の同定が出来,化学状態の推定
ができる。 また,AES同様にイオンスパッタリングを併用することで深さ方向の分析を
行うことも可能となる。 X線光電子分光法に関する一般的な解説も,多くの教科書
[1][5][6][8]に記載されているので,詳細はそちらに譲りたい。
本報に使用したX線光電子分光分析装置は,1988 年に Physical Electronics Inc.より
リリースされた Model5400 にモノクロメータを搭載した Model5400MC であり,その特徴を
Table1-5-2 に示す。(最新機種では,モノクロⅩ線プローブをフォーカスして試料に照射
し 1Oum 以下の領域の分析が可能になり,イオン銃のデジタルコントロールとフローティン
グによる低エネルギー化をはかり,自動分析機構による終夜自動測定が可能になっている。
また,低エネルギーのイオン銃を帯電防止の際に併用することもでき,さらにC60 イオン
を用いた低ダメージのスパッタデプスプロファイルを取ることも可能である。[9][10])
- 27 -
Table 1-5-1 AES装置の特徴。
電子銃
形式
エネルギー
ビーム径
エネルギー分光器
形式
分解能
エネルギー範囲
イオン銃
形式
エネルギー
25-140
ショットキー型電界放射型
0~25kV
<15nm(20kV, 1nA)
25-140
円筒鏡型(Cylindorical Mirror Analyzer)
⊿E/E:<0.6%(1keV)
0~3200eV
FIG-5
電子衝撃型,フローテフィング(500V)
0~5kV
Table 1-5-2 XPS装置の特徴。
X線源(Dual Anode)
アノード
エネルギー
出力
X線源(Monochro)
分光結晶
アノード
エネルギー
出力
分光器
形式
分解能
04-548
Mg & Al
4~15kV
400W(各々)
04-548
クオーツ(100)
Al
4~15kV
700W
10-360
静電半球型(Spherical Capacitor Analyzer)
25meV
- 28 -
参考文献
[1] D. Briggs and M. P. Seah : Practical Surface Analysis by Auger and X-ray
Photoelectron Spectroscopy, John Wiley & Sons(1983).
[2] 志水隆一, 吉原一紘:ユーザーのための実用オージェ電子分光法,共立出版(1989).
[3] 吉原一紘,吉武道子:表面分析入門,裳華房(1997).
[4] 日本表面科学会編:オージェ電子分光法,丸善(2001).
[5] VAMAS-SCA Japan 復刻版,J. Surface Anal.,8(2002).
[6] 城昌利,吉武道子,高野みどり,岩井秀夫,當麻肇,荻原俊弥,高橋和裕,名越正
泰,中村誠,武内豊,柳内克昭,スキルアップのための電子分光法講座 2002,表面
分析研究会.
[7] http://www.ulvac-phi.co.jp/jp/phi700.htm.
[8] 日本表面科学会編:X 線光電子分光法,丸善(1998).
[9] http://www.ulvac-phi.co.jp/jp/quantera.htm.
[10] http://www.ulvac-phi.co.jp/jp/c60.htm.
- 29 -
1-5
本研究の位置づけ
本研究の目的は,電子分光法を用いてLSIに用いられる材料やそのプロセス開発に貢
献することである。 これまで述べてきた様にデバイスの微細化とともにそのサイズは既に
電子分光法の空間分解能よりも小さくなっており,単純に電子分光装置を操作するだけで
は有用なデータとする事が難しい。 このため,スペクトル内にこれまで見向きもされてい
ないような微細構造を見つけ出し,仔細にわたるデータ解析法を駆使して適用し,さまざ
まな工夫を凝らし,その特徴を最大限に引き出すことで先端LSIプロセスの開発現場に
寄与してきた。例えば,
1) 最適な新材料を絞り込み,最適な組み合わせを提案。
2) 新材料,新積層構造の物性評価。
3) プロセス条件の最適化。
4) プロセス障害の原因究明。
5) 既存評価技術に内在する問題点の明確化とその改善案の提案。
などを行うことによって,次世代LSIに使用する新しい材料とプロセスを正しく迅速に
評価し,高性能LSIの開発効率を高め,低コスト化・高品質化を図ることによって競争
力を高める礎としてきた。
本研究論文は,それらの試行錯誤の結果の一部を纏め上げた物である。
- 30 -
第2章
2-1
電子分光分析法を用いた半導体材料評価技術
はじめに
電子分光分析法を用いた半導体材料の評価は,深さ方向分析(主にAES)による異種材
料界面の評価やマイクロプローブを利用した微小異物の同定などに代表される不良評価が
主として使われてきた。 近年,深さ方向分析における,電子分光スペクトルを単なる強度
変化(時間(深さ)と強度の二次元にデータ)として捕らえるのみではなく,時間とともにス
ペクトル形状が変化していく様子を統計的に捉えることにより,深さ方向元素分析から深
さ方向化学状態変化に変換する試み(因子分析や最小二乗法に適用[1])が頻繁に行われる
ようになり,分析技術をソフトウエアの面から大きく進化させた。 またLSIの微細化と
ともにゲート絶縁膜の薄膜化が進み,シリコン表面に形成されている自然酸化膜や薄い絶
縁膜に対する関心が高まってきたため,シリコンとの界面構造の評価やその膜質評価に注
目が集まるようになった。 [2][3][4][5][6]。 最近では,高誘電率材料をシリコンデバイ
スに適用するために光電子のエネルギー損失スペクトルからバンドギャップを求め,価電
子帯スペクトルからバンドオフセットを求めることによって高誘電体膜とシリコン基板
(または電極金属)の間のバンドダイアグラムを作成する試み[7][8]や角度分解XPSデー
タに対して数学(統計)的な処理(たとえば,Maximum Entropy Method:MEMや Liner Least
square:LLS)を施すことによって電子分光の信号発生深さよりも浅い層(数 nm 以下)内
の深さ方向分析結果が報告されている。[9][10] さらに光電子スペクトルエネルギー値の
プローブであるX線照射時間に依存する変化や,試料にバイアス電圧を印加してバイアス
電圧とピークのシフト量から界面準位や膜中欠陥準位などを計測する試み[2][11]につい
ても報告されている。 本章では,本論文内で述べるXPSによってシリコン基板上に形成
された熱酸化膜厚を決定する際の各種パラメータの決定過程,因子分析の概念,MEMの
概念,バンドダイアグラムの決定方法とその問題点等についてまとめて解説する。
なお,半導体材料の中で薄い酸化シリコン膜とシリコン基板の界面は,MOSFETを
構成する最も重要な界面であり,ここに存在する不純物や各種欠陥がその特性に与える影
響は計り知れないため黎明期より広範な項目について膨大な研究報告がなされてきた。 シ
リコンは,われわれの入手できる材料の中で最も純度が高く,結晶の完成(純)度も高い(素
性のいい)材料と言っても過言ではなく,その熱酸化膜も比較的容易に素性のいい膜質と界
面を実現できるため,研究対象としては非常に魅力的に感じる。 酸化シリコンとシリコン
基板の界面には少なくとも SiOx(0<x<2)なる遷移層が存在することは疑う余地がなく,固体
- 31 -
表面の化学状態の評価に適していると言われてきたXPS(電子分光)を使うチャンスに恵
まれた研究者であれば,これらの解明は半導体産業の発展に寄与するところが大きいと考
えるのが自然であり,界面遷移層の違いを解明する試みも多数行われてきた。
[2][3][4][5][6] 実際,酸化シリコンとシリコン基板(100)の積層構造をXPSで計測して
みると Figure 2-1-1(筆者が箱だしウエハをモノクロのXPSで測定)に示すように明らか
に界面遷移層(sub oxide)と考えられる状態(ここでは 101eV, 102eV 付近)を検出すること
は比較的容易であるが,これらの構造遷移層をピーク分離によって正しく抽出することは
困難である。 なぜなら ① 検出しようとしている構造遷移層のピークは主ピーク(ここで
は 99.4eV)に対して 1/100 以下である。② バックグラウンド規定方法の不確からしさが,
構造遷移層のピーク強度に対して大きすぎるためにバックグラウンドの規定の仕方でピー
ク位置も強度も大きく変化する。③ 界面遷移層をなす個々のピーク(理想的な界面が形成
されていれば Si(100)と酸化シリコンの界面遷移層は SiO が主であり,少なくとも Si2O,
Si2O3 が存在すると考えられる以外に,シリコンの結合手に水素や水酸基がつながっている
ものが考えられる。)の形状,ピーク位置が不明である。(仮に特別な測定,特別な試料作成
技術を駆使して個々のピークを独立計測できたとしてもそれが異なる条件下の界面構造に
適用できる保証がない(要は,リファレンスになりえない)) ④ X線の照射による試料内の
電位分布が試料や照射時間によって異なる[2] 等の未解決の問題点が全く解決されていな
いためである。
(バックグラウンドの規定に関する著者の考えを Appendix2として巻末
に示す。) 以上の理由のため,本報では半導体材料を評価する立場にありながら最も魅力
的で基本的な研究テーマと思われる,酸化シリコンとシリコン基板の界面遷移層に関する
研究には一切触れない。
また,本報内の各種試料を作成する過程でLSI製造プロセスに一般的に用いられて
いる薬液処理を繰り返し用いているため,それらの詳細を Table2-1-1[12]にまとめてお
く。 ここでは今回の実験に用いる主な薬液の使用目的と代表的混合比・温度等を一覧表
として整理している。 LSI産業のウエハプロセスに用いられている通常の洗浄技術は,
概ね 30 年 以上も 前に RCA(Radio Corporation of America)社(後にG E(General
Electric)社に合併)の,W. Kern と D. A. Puotion によって発表された薬液[13]を雛形に
して,改良されながら今日に至っている。(当時のRCA社では,電子管の洗浄に過酸化
水素水をベースとした洗浄液をノウハウとして用いており,後にLSI製造ラインを持
つ各社が時代に応じて細かくカスタマイズ(混合比や温度など)して使用してきたが,各
- 32 -
社とも大きくは異なっていないと思われる。) これらの洗浄では,パーティクル,金属(ア
ルカリ金属,重金属),有機物,自然酸化膜を除去することを目的にしており,LSI製
造の各ユニットプロセスの前後に必要に応じて組み合わせて使用されている。(LSIの
製造現場では,各ユニットプロセスは他のプロセスからの汚染を持ち込まない様に受け
入れ時に洗浄を行い,他のプロセスへ汚染を持ち出さないように処理後にも洗浄プロセ
スがあるのが一般的であるために製造プロセスに占める洗浄プロセスの占める割合はき
わめて大きい。)
また,近年では脱RCAといわれている新しい洗浄液(HF/H2O2[14],
HF/HCl[15][16],界面活性剤やキレート材の添加[17],オゾンなどのガス溶融水[18]や
電解イオン水[19]など)やドライ洗浄法(紫外線/オゾン[20]フッ酸蒸気[21],エアゾル
(ドライアイスや微細氷)[22][23]など)も提案されている。
- 33 -
120 (a)
Counts
80
40
106
30
104 102 100
Binding energy [eV]
98
(b)
sub oxide
Counts
20
10
SiO2
106
Si
104 102 100
Binding energy [eV]
98
Figure 2-1-1 モノクロメータを用いて測定したウエハ表面のSi2p高エネルギー分解能スペクトル (a)
スペクトル全体 (b)サブオキサイド部分を強調するために拡大表示。
処理名称
アンモニア過酸化水素水(アンモニア過水)
SC1 : Standard Clean 1
APM : Ammonia hydroxide/Hydrogen Peroxide Mixture
塩酸過酸化水素水(塩酸過水)
SC2 : Standard Clean 2
HPM : Hydrochloride/Hydrogen Peroxide Mixture
硫酸過酸化水素水(硫酸過水)
SPM : Sulfaric acid/Hydrogen Peroxide Mixture
弗酸(希ふっ酸)
DHF : Diluted Hydrofloride
典型的使用条件
処理目的
副作用
NH4OH : H2O2 : H2O = 1 : 1 : 5 パーティクル除去
有機汚染除去
80℃,10 min.
金属付着
化学酸化膜形成
HCl : H2O2 : H2O =1 : 1 : 5
80℃,10 min.
パーティクル付着
化学酸化膜形成
H2SO4 : H2O2 =4 : 1
110℃,10 min.
0.5%
金属汚染除去
有機物(レジスト等)除去
金属汚染除去
SiO2除去
金属除去*
*Cuは除く
各薬液の原液は,NH4OH : 28%,H2O2 : 30%,HCl : 36%,H2SO4 : 98%,HF : 50% 程度
Table 2-1-1 LSI製造現場の湿式洗浄プロセスで使用される主な薬液とその効果[11]
- 34 -
パーティクル付着
化学酸化膜形成
パーティクル付着
Cu付着
参考文献
[1] 吉原一紘,吉武道子 : 表面分析入門,裳華房(1997).
[2] Seiichi Iwata and Akitoshi Ishizaka : J. Appl. Phys. 79 (1996) 6653.
[3] M. Morita, T. Ohmi, E. Hasegawa, M. Kawakami and M. Ohwada:J. Appl. Phys.
Vol.68(1990),1272.
[4] Masatoshi Egawa and Hideaki Ikoma : Jpn. J. Appl. Phys.Vol.33(1994)943.
[5] Takeo Hattori, Kazuhiko Takase, Hiroaki Yamagishi, Rinshi Sugino, Yasuo Nara
and Takashi Itoh : Jpn. J. Appl. Phys.Vol.28(1989)L296.
[6] 高桑雄二 : 表面科学 23 (2002) 536.
[7] Hiroshi Itokawa, Tetsuhiro Maruyama, Seiichi Miyazaki and Masataka Hirose:
Ext. Abst. SSDM (1999) 158.
[8] Makoto Nakamura, Masaaki Nakabayashi : J. Surf. Anal., 9(2002) 424.
[9] G.C. Smith, A.K. Liversey : Surf. Interf. Anal. 19 (1992) 175.
[10] H. Kato, K. Nishizaki, K. Takahashi, H. Nohira, N. Tamura, K. Hikazutania, S.
Sano, T. Hattori : Appl. Surf. Sci.,190 (2002) 39–42.
[11] H. Kobayashi, A. Asano, S. Asada, Y. Yamashita, K. Yoneda, and Y. Todokoro :
J. Appl. Phys.,83(1998) 2098 ; Y. Yamashita, Y. Nakato, H. Kato, Y. Nishioka
and H. Kobayashi : Appl. Surf. Sci., 117/118 (1997) 176.
[12] 小川洋輝,堀池靖浩:ビギナーズブック はじめての半導体洗浄技術 工業調査会
[13] W. Kern and D.A. Puotinen : RCA Review, 31 (1970) 187.
[14] T. Shiono and M. Tsuji : Ext. Abst. of the 179th ECS Meeting, 91-1 (1991)
278.
[15] K. Uemura and K. Shimanoe : Proc. of the 4th Int. Symp. on Cleaning Tech.
in Semiconductor Devices Manufacturing,(1995)292.
[16] I. Oki, H. Shibayama and A. Kagisawa : Ext. Abst. of the 184th ECS Meeting,
93-2 (1993) 473.
[17] G. B. Larrabee : J. Electrochem. Soc., 180 (1961) 1130.
[18] J. K. Tong, D. C Grant and C. A. Peterson : Proc. of 2nd Int. Symp. on Cleaning
Tech. in Semiconductor Device Manufacturing,
Eds. by J. Ruzyllo and R. E. Novak,
90-9, The Electrochemical Society, Pennington, NJ (1990) 18.
- 35 -
[19] H. Aoki, M. Nakamori, N. Aoto and E. Ikawa : Proc. of Symp. VLSI Tech.,
(1993)107.
[20] P. Wood, T. Wydeven and S. Tsuji : Proc. of Mat. Res. Soc. Symp., (1993) 315.
[21] B. E. Deal and C. R. Helms : Handbook of Semiconductor Wafer Cleaning Tech.:
Edited by W. Kern, Noyes Publications, New Jersey, (1993) 274.
[22] J. W. Butterbaugh, S. Loper, G. Thomes : Cleaning Tech. in Semiconductor
Device Manufacturing Ⅳ ed. by R. E. Novak and J. Ruzyllo.
[23] T. Hattori : The Electrochem. Soc., Pennington NJ, 99-36 (1999) 335.
- 36 -
2-2
電子分光法による膜厚測定
電子分光法による膜厚測定は,①スパッタデプスプロファイル(Sputter Depth Profile)
を用い,スパッタレートから膜厚を推定する方法,②破断,劈開,FIB,斜め研磨など
を用いて断面試料作成後に断面を微小プローブで線分析をする(主にAES)方法,③信号
電子の脱出深さを利用する方法[1][2]などがある。 スパッタデプスプロファイルを用いる
方法では,試料を構成する各層によってスパッタ速度が異なる事が,時間軸から膜厚への
データ変換を困難にしている上にスパッタリングに用いるイオンが試料中をミキシングす
るために界面が乱され深さ方向分解能が大きく低下する。 また,1-3-1 で述べたように半
導体デバイスのように多様な構成材料を有し凹凸の有る試料の分析には向かない。 断面を
線分析する方法では,切り出された断面が,平坦かつ清浄であることはもちろんのこと,
試料法線方向からプローブが照射されている事が保証されている(通常このアライメント
は簡単ではない)か,入射角の校正基準(膜厚や長さを構成できる機知の膜厚領域等)が試料
内に存在している事が必須で,プローブ径やその分布が深さ方向分解能を支配する(斜め研
磨等によって実効的なプローブ径を小さくすることも可能)。 信号電子の脱出深さを用い
る方法では,信号電子の脱出深さを正しく見積もることは困難であることと,信号電子が
脱出できないほど厚い膜の厚さを計測することはできない。(一般的に用いられている電子
分光装置では<10nm の膜厚に限定される。)
本章では,信号電子の脱出深さを用いる方法について述べるとともに,次章で半導体の
ゲート絶縁膜およびキャパシタ誘電体膜に本法を適用してきた実例について述べる。 本法
では試料中における信号電子の脱出深さをいかにして見積もるのかがその決定膜厚の精度
を大きく左右する。 固体中での信号電子の脱出深さは,多くの場合実験的に求めることが
できないため,通常は田沼らの提案しているTPP-2M[3]や Seah & Dench の式[4]など
を用いるのが一般的である。(最近の実用現場では,ほとんどの研究者が,TPP-2Mを
利用しているようである。TPP-2Mに関しては,Appendix3として巻末に簡単な解説を
記した。)
光電子(信号電子)の脱出深さを用いる方法でシリコン基板上の熱酸化膜厚を求める方法
は,①Si2p スペクトルの基板成分と酸化層成分の相対強度比を用いる方法,②O1s スペク
トルの強度を用いる方法,③Si2p スペクトルの酸化層成分の強度を利用する方法,④Si2p
の基板成分を用いる方法などが考えられる。 これらの中で一般的に利用されているのは①
の J. M. Hill によって提案された Si2p スペクトルの酸化膜成分と基板成分の相対強度比
- 37 -
を用いる方法 [5] でありそれ以外はほとんど使われていない。(J. M. Hill の方法につい
ては,Appendix4として巻末に簡単な解説を記した。) 一般的に J. M. Hill の方法が使用
されている理由は,プローブのフラックス強度変動(アノードパワーの経時変動,試料を傾
斜させた時の分析領域内のフラックスの変動,X線源と試料の距離など)や酸化シリコン膜
表面の汚染層(たとえば大気中で付着する炭化水素成分)などによる強度変化を相殺できる
からと考えられる。各種酸化膜厚の算出方法とその特徴に関する比較は,J. Fulghum [6]
によって詳細に議論されており,J. M. Hill らの方法が最も適しているとの結論が記され
ている。また,M. P. Seah らは,J. M. Hill の方法を用いて各機関が独自に作成した熱酸
化シリコン薄膜を測定した時に各種パラメータがどのような値を示しているのかに関して
整 理 を 行 っ て い る 。 [7] さ ら に , C C Q M (Consultative Committee for Amount of
Substance) を 世 界 中 に 配 布 し て X P S は も と よ り , M E I S (Medium Energy Ion
Scattering Spectrometry), N R A (Nuclear Reaction Analysis), R B S (Rutherford
Backscattered Spectrometry),SIMS,エリプソメトリ,GIXRR(Grazing-Incidence
X-ray Reflectivity),中性子散乱,TEMを用いてシリコン基板上の酸化シリコン皮膜の
膜厚測定法の比較検討を行っている。[8]
J. M. Hill らによって提案された方法[5]に基づく膜厚 d を算出する式を以下に示す。
(Appendix の[Equation 14]と同じ。)
⎛ I SiO2
⎞
d = λ SiO2 ⋅ sin θ ⋅ ln⎜⎜
⋅ K − 1⎟⎟
⎝ I Si
⎠
[2.2.1]
ここでλSiO2 は,酸化シリコン中での光電子の平均自由行程,θはアナライザの仰角(Figure
2-2-1),ISiO2 と ISi は各々表面皮膜の酸化シリコンと基板からの光電子の強度,Kはシリコ
ン基板と酸化シリコン系に関する定数(感度係数[SF : Sensitive Factor]比に相当してい
る項でK=SFSi/SFSiO2)である。 感度係数比は,希フッ酸水溶液で酸化膜を除去して水素終
端した基板(Si)と光電子の脱出深さより十分厚い(たとえば膜厚が 20nm)酸化シリコンを
同一条件で測定し,その強度比を求めることによって実験的に決定する事ができる。 また,
λ SiO2 は膜厚の異なる熱酸化シリコン膜を系統的に測定する事によって決定することがで
きる。 次節に[2.2.1]式の未定定数(λSiO2 とK)を実験的に求めた結果を示す。
2-2-1 シリコン基板上の酸化シリコン決定パラメータの決定
J. M. Hill らの方法 [5]を用いてシリコン基板上の酸化シリコン膜厚を決定するために
- 38 -
未定定数(λSiO2 とK)を導出する実験を行った。[9]
[実験]
実験に用いた試料作成条件を Table2-2-1 に示す。 箱出しの 4 インチウエハを前処理(過
酸化水素とアンモニアの混合液でシリコン表面を薄く削ってパーティクルの除去を行った
後で,過酸化水素と硫酸の混合液で付着している有機汚染を除去し,高温濃硝酸で金属汚
染の除去を行った (なお各処理の間に 10 分間の超純水洗浄プロセスがある。) 後に,希フ
ッ化水素水溶液中で表面の自然酸化膜を除去後,超純水洗浄を行い(シリコン表面は,水素
終端されている),乾燥酸素中で所望の時間熱酸化して試料とした。
また,エリプソメータおよびXPSの測定条件を Table2-2-2 に各々示す。 XPS測定
後のカーブフィット時のピーク形状リファレンスとして同様の成膜法でシリコン基板上に
形成した 20nm(光電子の脱出深さに対して十分厚い)の熱酸化膜と希フッ化水素水中でそ
の熱酸化膜を除去した後の水素終端されたシリコン基板を用いた。(希フッ化水素水溶に浸
漬する事で水素終端したシリコンの 2p スペクトルを高エネルギー分解能のXPSで測定
すると,基板シリコンに起因するピークのわずかに高結合エネルギー側に Si-H に起因する
ピークが現れるがモノクロメータを用いない測定ではその影響はほとんどないものと考え
ている。) また,サブオキサイドに起因するピークは基板シリコンのピークと酸化シリコ
ンのピークの中間にあると考え,その形状は酸化シリコンのものと同じと考えた。 これら
を基に非線形の最小二乗法を用いて,基板シリコンと酸化シリコン,サブオキサイドの各々
のピーク面積を求めた後で,ISi として基板シリコンに起因するピークの面積を,ISiO2 とし
て酸化シリコンとサブオキサイドに起因するピーク面積の和を用いた。これにより,サブ
オキサイドを構成しているシリコンまでが酸化膜と定義した。
[結果]
式[2.2.1]中のK値を実験的に決定するために酸化膜を除去し水素終端したシリコン基
板と 20nm の熱酸化シリコン膜からの Si2p スペクトルの強度比を同一測定条件下で測定し
面積比を求めることによってシリコン基板と酸化シリコン系に関する定数Kを決定するこ
とができる。 これより,Mg-kαをプローブに用いた時のKMg と Al-kαをプローブに用いた
時のKAl として各々1.05, 1.10 が得られた。 この結果,[2.2.1]式は酸化シリコン膜中の
Si2p 光電子の平均自由行程λSiO2 を何らかの方法で決定することができれば,膜厚を決定す
- 39 -
ることが可能となる。
XPS分析結果の一例として Figure 2-2-2 に今回使用した試料の表面清浄度を示す概観
(survey)スペクトルを示すとともに,一例としてエリプソメータで 5.71nm を示した酸化シ
リコン試料からの Si2p 光電子分光スペクトルの取り出し仰角依存性(90,70,50,30°)
を示すものを Figure 2-2-3 に示す。 ここでは,強度軸は,酸化物に起因するピーク強度
で規格化表示している。 また酸化膜厚の異なる試料をエリプソメータとXPS(Mg-kα)
の取り出し仰角を変えて測定した結果(delipso/λSiO2)をまとめて Figure 2-2-3 に示すとと
もにプローブに Al-kαを用いた時の同様の実験結果を Figure 2-2-4 に示す。 これらより,
多くの報告[5][6][10][11][12][13][14]にあるように,エリプソメータとXPS(d/λ)の
間に非常によい相関関係があることがわかる。 ただし膜厚が薄い領域では,エリプソメー
タ指示値は切片を持ち,膜厚の厚い領域はXPSのdelipso/λSiO2 が取り出し仰角によって
ばらついていることがわかる。 両者の相関関係を求めるために厚膜領域でXPSの結果が
ばらついているデータを除いた後に,最小二乗法を適用し 1 次式回帰を行ったところ,Mg-k
αをプローブにした場合,切片が 0.68nm 傾き 2.90,Al-kαをプローブにした場合,切片
が 0.58nm,傾き 3.42 が得られた。 またここで得られた傾きは,XPSで膜厚を決定する
ために必要とされる最後の係数であるλSiO2 (平均自由行程)に相当していることは言及す
るまでもない。 これまで多くの機関が報告しているようにエリプソメータとXPSの傾向
が非常によく一致していることから,これらの測定結果は相対的には正しい膜厚を示して
いると考えることができる。 エリプソメータとXPSで求めた膜厚の相関関係をまとめて
Figure 2-2-5 に示す。(プローブに Mg-kαを用いた場合と Al-kαを用いた場合の結果をま
とめて表示。) これより,本実験で用いたXPS装置を用いてシリコン基板(100)上の酸化
シリコン薄膜の膜厚を決定するためにはプローブが Mg-kα,Al-kαの時,各々以下の式を
用いれば良いことが実験的に判った。
⎛ I SiO2
⎞
d = 2.90 ⋅ sin θ ⋅ ln⎜⎜
⋅ 1.05 + 1⎟⎟
⎝ I Si
⎠
(Mg-kαの時)
[2.2.2]
⎛ I SiO2
⎞
d = 3.42 ⋅ sin θ ⋅ ln⎜⎜
⋅ 1.10 + 1⎟⎟
⎝ I Si
⎠
(Al-kαの時)
[2.2.3]
[考察]
膜厚が厚い領域でXPSの値がばらつく理由は,酸化膜厚が厚い時は,基板に起因する
- 40 -
ピークの信号強度が極端に小さくなるために面積の計測誤差が生じたためと考えられる。
このことは,実効的な分析深さの浅い低取り出し角の時ほど直線からずれる傾向にあるこ
とからも明らかと思われる。 また,低取り出し仰角条件のほうがその傾きが大きくなる傾
向が観察されていることから,低取出角条件では Figure 2-2-7 に示す様に,本来は高取出
角(たとえばβ)方向に飛び出した光電子が,弾性散乱によってあたかも低取出角(たとえば
α)方向に飛び出し計測されたもの(b)を検出している可能性もある。 実際には低取出角条
件では(a)の行路は,(b)の経路に比べて非常に長くなるため非弾性散される確率が高くな
り,(b)/(a)比が無視できなくなる。 このことは,C. J. Powell らも指摘している。[15]
エリプソメータの測定値が切片を持つ理由として,エリプソメータは酸化シリコンと基
板シリコンの界面を正しく認識できているのかという疑問がわいてくる。(エリプソメータ
では,入射光と反射光の偏光の変化(s 偏光と p 偏光の位相差⊿と反射振幅比角 tanψ)から
膜厚を推定しているため何らかの原因(例えば,表面吸着物や界面の歪層など)がこれらに
影響する可能性が有る。) 実際,希フッ酸水溶液で処理直後の水素終端されたシリコン
(Si2p の中に酸化シリコンに起因するピークが観測できない)表面の酸化膜厚をエリプソ
メータで測定すると 0.5~0.6nm の膜厚を示すことからもエリプソメータで酸化膜厚を厚
く見積もっているものと考えている。 Figure 2-2-1 の概観スペクトルを見る限り炭素汚
染もほとんど観測されていないことから,界面のシリコンまたは酸化シリコンの構造遷移
層,表層に存在する汚染の炭化水素が酸化膜厚を厚く見積もる事に何らかの影響を与えて
いるものと思われる。 (余談であるが,純水中ほんのわずかなアルカリが混入しているこ
とによって希フッ酸水溶液処理後の水素終端シリコン表面に表面あれが形成された時にエ
リプソメータでは酸化膜があるかのごとく数値を表示してくる。)
ここでNPL(National Physical Laboratory)の M. P. Seah らはNIST(National
Institute of Standards and Technology)の提供するのCCQM(Comite Consultatif pour
la Quantite de Matiere[仏], Consultative Committee on Amount of substance[英],物
質諮問委員会)の提供する熱酸化膜を用いたと詳細な実験と検討の結果,
Al
,λ SiO
であることを導き出すとともに,通常のXP
λ Mg
SiO 2 = 2.996 ± 0.016 nm
2 = 3.485 ± 0.019 nm
Sでは,試料の取り付け方やマニュピレータ精度などによって取り出し角に 1°程度の誤
差が生じるために膜厚決定時のばらつきになる可能性があることを指摘[16]している。 さ
らに,レーザの反射を利用してこれらの角度補正を行う事で1%以下の精度で膜厚を決定
できるとしており,その適用範囲は 1.5nm~8nm という結論[16]を導き出している。 また
- 41 -
M. P. Seah らは,これまで各機関から報告されているλ値[7]をまとめているので今回の
結果とともにまとめて Table2-2-3 に示しておく。 これより,本報告の値は M. P. Seah
の値の 96%,98%であり他の機関の値に比べても非常に近い値になっている。
2-2-2
光電子回折の影響について
これまで述べてきた J. M. Hill らによって提案された相対強度比を用いてシリコン基板
上の薄膜の膜厚を求める試みは,基板が単結晶であるために少なからず光電子回折の影響
が現れることが考えられる。つまり,基板からのスペクトル強度が光電子回折によって大
きく変化するために,基板からの信号と薄膜からの信号の相対強度を用いた膜厚算出の結
果に直接影響を与える。このことは M. P. Seah も指摘しておりその影響を検討している。
[7] この効果は使用している分光器のインプットレンズの取り込み立体角の違い(立体角
が大きいほど光電子回折の効果が平均化され影響が少なくなる)や,分析装置の幾何学構造
(アノードと検出器の幾何学配置など),試料の結晶方位などによって影響の大きさは変わ
ってくる。 われわれは,J. M. Hill らによって提案された方法を採用するにあたり,あ
らかじめ使用した測定装置で基板からの光電子強度がどの程度変動する可能性があるのか
についてシミュレーションを試みた。 光電子回折によるシリコン基板からの Si2p 光電子
強度の変化のシミュレーションは F. Javier Garcia de Abajo らによって作成され Web 公
開 さ れ て い る , E D A C (Electron Diffraction in Atomic Clusters for Core Level
Photoelectron Diffraction Simulations)[17]を用いた。 シミュレーションは,シリコン
基板(100)に対して Figure 2-2-8 に示すようにプローブ(X線)とアナライザのなす角αを
54.7°(本実験に使った装置[Physical Electronics Inc. 5400MC]の標準プローブ(Mg-kα
または Al-kα)を用いた時の角度),光電子の取り込み立体角を±4°にして取り出し角
(Take off angle)θを変えた時,試料の取り付け角度(Azimuthal angle φ)の変化に対し
てどの程度強度の変化が生じるのかに関してシミュレーションを行った結果であり
Figure 2-2-9 に示す。 ここで(a)は試料の取り付け角度(Figure 2-2-3 中のφ)を変えた時
にもっとも強度が強くなる条件と弱くなる条件の時の強度比,(b)は試料の取り付け角度を
変えた時の強度変化の標準偏差,(c)は試料の取り付け角度を変えた際の全角度の強度を足
し合わせて取り出し角度θを変えたときに強度がどの程度変化するのかを表したものであ
る。 これより,試料の取り付け方向によって最大 50%も強度の変化が現れる可能性があ
り,本XPS装置を用いてシリコン基板上の酸化シリコン薄膜の膜厚を決定する際には光
- 42 -
電子回折の影響を考慮しなければならないことを意味している。(最近のXPS装置の多く
はマイクロアナリシスを意識しているために,インプットレンズの取り込み立体角が大き
くなっているのが一般的であるため,今回ほどは影響してこないものと思われる。) 本実
験に用いたXPS装置では取り出し,45,75°の時には基板の信号強度が試料取付角度(面
内回転角)によって大きく変動するために注意が必要(できれば使用を避けるのが賢明)と
思われる。 実際,光電子回折がシリコン基板上の酸化シリコン薄膜の膜厚を算出する際に
どの程度影響を与えるのかについて実験的に検証を行ってみた。
[実験]
新品の箱から出した Si(100) 10Ω・cm(自然酸化膜付き)を先の実験に用いたXPS装置
に導入し,マニュピレータを用いて適当に回転角を変えて(実験に用いたXPS装置はロー
テーション機構がついていないため任意の回転角度(φ)に設定することができないが,試
料を適当に回転させて回転して取り付け(角度は規定できないが 10 回の測定で 360 度回転
させた。))酸化シリコン膜厚の測定を試みた。
[結果]
通常の測定で頻繁に使用している取り出し角(take off angle)が 30°,45°で Si2p ス
ペクトルを先の方法でピーク分離し,基板からの信号強度と,酸化シリコン薄膜からの信
号強度を各々求め,先の式[2.2.2]を用いて酸化膜厚を実際に求めた結果を Figure 2-2-10,
2-2-11 に示す。これより,酸化シリコンに起因するピークは概ね一定であるの(仰角 30°
の 6 サイクルを除く)に対して基板からのピークの強度は変動が大きいことがわかり,明ら
かに光電子回折の影響が観測されている。 ここで基板からの信号の変動率(Imax/Imin-1)は,
取り出し角が 45°の時 46%,30°の時 35%であり,前者はEDACでシミュレートされ
たものと同程度,後者はそれより大きめの値となった。 各サイクルで求めた膜厚より,取
り出し角が 45°の時の平均膜厚は dSiO2=0.71nm(σ=0.09nm[11.3%]),30°の時の平均膜厚
は dSiO2=0.79nm(σ=0.09nm[12.5%])という結果になり,0.7~0.8nm の膜厚の計測を行う場
合に 1 割程度の誤差を容認することができるのであれば,膜厚算出時に光電子回折の効果
を考えなくても良いことが判った。 誤差が許容できない場合は,シミュレーションで予測
されている光電子回折の影響が少ない取り出し角度を選択する必要がある。 更なる精度向
上を目指すなら M. P. Seah らの推奨する方法[16]等によって,マニュピレータや試料の取
- 43 -
り付け方による実効仰角の変動を補正する必要がある。
2-2-3
まとめ
シリコン基板上に成膜した酸化シリコン膜厚測定に用いられている J. M. Hill の式の考
え方について解説するとともに,酸化膜厚を算出する際に必要となる 2 つの定数を実験に
よって求めた。 具体的には,様々な膜厚の熱酸化膜を作成し Mg-kαと Al-kαをプローブ
にして,アナライザ仰角を変えて測定した結果とエリプソメータで計測された値と比較す
ることによって, [2.2.2] [2.2.3]式を用いる事で膜厚が決定できる事を示した。 本実験
で求められた平均自由行程は,M. P. Seah らによる高精度実験により決定された値に極め
て近い物であった。
また測定精度に影響すると考えられる光電子回折(単結晶である基板からの信号強度が
試料の取り付け方で変化する)の影響についてシミュレーションと実験によって検討を行
った結果,0.8nm 程度の膜(先端デバイスのゲート酸化膜より少し薄い膜)の場合,1 割程度
の誤差のもとに計測できることを示した。
さらに,低アナライザ仰角での測定を行う場合は,弾性散乱電子が少なからず結果に影
響を与えることについて指摘した。
- 44 -
Table 2-2-1 シリコン基板上の酸化シリコン成膜条件。
Substrate
Si(100) 10Ω・cm
Pretreatment 1) NH4OH/H2O2 NH4OH : H2O2 : H2O = 1 : 1 : 5, 85℃, 600sec.
2) H2SO4/H2O2
H2SO4 : H2O2 = 20 : 1, 110℃, 300sec.
4) NHO3
80℃, 300sec.
HF : H2O = 1 : 50, R.T., 30sec.
5) d-HF [1:50]
850℃,dry O2 Various time
Oxidation
Table 2-2-2 エリプソメータとXPSの測定条件。
Elipsomater
XPS
Rudolph Research Analytical AUTO ELⅡ
Refractive index [SiO2] = 1.462
Refractive index [Si] = 3.858(real number),
0.018(imaginary)
Physical Electronics Inc. 5400MC
Probe : Mg-kalpha [15kV,26.7mA]
Pass energy : 17.9eV
Take off angle : refer to experiments
Acceptance angle of input lens : ±4°
X-ray
Photoelectron
θ
d
SiO2
Si-sub.
Figure 2-2-1 電子の脱出深さを利用し,XPSで酸化膜を見積もる際の幾何学構成図。
- 45 -
Normalized intensity [A. U.]
1.0
2.91nm
9.46nm
5.71nm
0.5
0.0
1000
0
500
Binding energy [eV]
Normalized intensity [A. U.]
Figure 2-2-2 代表的な試料からの概観(survey)スペクトル。
1.0
delipso=5.71nm
0.5
0.0
108
substrate
oxide
104
100
Binding energy [eV]
90°
70°
50°
30°
96
Figure 2-2-3 エリプソメータで5.71nmを示した試料のSi2pスペクトルの取り出し角依存性を示す高エ
ネルギー分解能スペクトル。
- 46 -
Oxide thickness using ellipsometer [nm]
Mg-kα
12
9
6
3
y = 2.90 x + 0.68
2.0
1.0
3.0
90o
70o
65o
50o
45o
30o
4.0
d/λSiO2 derived using XPS
Oxide thickness using ellipsometer [nm]
Figure 2-2-4 エリプソメータで測定した膜厚とXPS(Mg-kα)から読み取ったd/λSiO2の膜厚依存性。
Al-kα
12
9
6
3
y = 3.42 x + 0.58
1.0
2.0
3.0
30o
50o
70o
90o
4.0
d/λSiO2 derived using XPS
Figure 2-2-5 エリプソメータで測定した膜厚とXPS(Al-kα)から読み取ったd/λSiO2の膜厚依存性。
- 47 -
(b) Elastic- scattered
electron
(a) Conventional
electron
Elastic scattering
α
Over layer
β
α
Generation
Substrate
Figure 2-2-7 取り出し取出角αの測定条件での計測の際に本来β方向に飛び出した光電子が弾
性散乱の結果あたかもα方向に飛び出すことを示す模式図。(a)通常の光電子放出の経路,
(b)高取出各方向に放出された後に弾性散乱で低取出各方向に散乱し検出される光電子。
Oxide thickness using ellipsometer [nm]
10
8
6
4
2
2
4
6
8
10
Oxide thickness defined to use of XPS [nm]
Figure 2-2-6 エリプソメータで測定した膜厚delipとXPSで測定した膜厚dXPSの比較。
- 48 -
Table 2-2-3 実験で求めた非弾性散乱平均自由行程値の機関間差。
Auther
Ref. Si substrate
[1]
(100)
Hill et al.
[2]
(100)
Ishizaki et al.
Ebel and Liebl
[3]
Hochella and Carim [4]
(100)
[5]
Ebel et al.
[6]
(100)
Fulghum et al.
Fulghum
[7]
(100)
[8]
(100)
Mitchell et al.
[9]
(111)
Yano et al.
[10]
(100)
Lu et al.
Gross et al.
[11]
Cole et al.
[12]
Sheah
[13] (100)&(111)
My work
Mean±SD
IMFP [nm]
Mg
Al
Traceability
3.7±0.4
Ellipsometry
3.49±0.01
Ellipsometry
3.083
3.16
Ellipsometry
2.12±0.06
2.57±0.06
TEM
2.71
3.2
Ellipsometry
3.0
3.5
Ellipsometry, RBS
3.19±0.20 Ellipsometry, RBS
2.93±0.06
NRA
2.7
TEM
3.04±0.11
TEM
(100)
(100)
(100)
(100)
3.03±0.14
2.82±0.15
3.351±0.044
Ellipsometry
Ellipsometry
TEM, RBS
2.996
3.485
CCQM
2.9
2.87±0.39
3.42
3.18±0.31
Ellipsometry
Ref.
[1] J. M. Hill, D. G. Royce, C. S. Fadley, L. F. Wagner, F. J. Grunthaner:Chem. Phys. Lette. 44(1976)225
[2] A. Ishizaka, S. Iwata, J. Kamigaki:J. Surf. Sci., 84(1979)355
[3] M. F. Ebel, W. Liebl:J. Electron Spectroscopy and Relative Phenomenom, 16(1979)463
[4] M. F. Hochella, A. F. Carim:Surf. Sci. Lett., 197(1988)L260
[5] H. Ebel, M. F. Ebel, R. Svagera, A. Hofman:Surf. Interface Anal., 18(1992)821
[6] J. E. Fulghum, R. Stokell, G. E. McGuire, B. Patnaik, N. Yu, Y. J. Zhao, N. Parikh:J. Electron Spectroscopy and Relative
Phenomenom, 60(1992)117
[7] J. E. Fulghum:Surf. Interface Anal., 20(1993)161
[8] D. F. Mitchell, K. B. Clark, J. A. Bardwell, W. N. Leonard, G. R. Massoumi, I. V. Mitchell:Surf. Interface Anal., 21(1994)44
[9] F. Yano, A. Hiraoka, T. Itoga, H. Kojima, K. Kanehori, Y. Mitsui:J. Vac. Sci.Technol. A13(1995)2671
[10] Z. H. Lu, J. P. McCaffrey, B. Brar, G. D. Wilk, R. M. Wallance, L. C. Feldman, S. P. Tay:Appl. Phys. Lett.,71(1997)2764
[11] T. H. Gross, A. Lippitz, W. Unger, B. Guttler:Surf. Interface Anal., 29(2000)891
[12] D. A. Cole, J. R. Shallenberger, S. W. Novak, R. L. Moore, M. J. Edgell, S. P. Smith, C. J. Hitzman, J. F. Kirchhoff, E.
Principe, W. Nieveen, F. K. Huang, S. Biswas, R. J. Bleiler, K. J. Jones:J. Vac. Sci. Technol., B18(2000)440
[13] M. P. Seah, S. J. Spencer:Surf. Interface Anal., 35(2005)731
X-ray
Photoelectron
α
φ
θ
Figure 2-2-8 Si(100)からのSi2p光電子強度が光電子回折の影響で変化することをシミュレートする際
の幾何学定義図。
- 49 -
Mg-kα
(c) Relative intensity
Ratio [%]
1
40
0.8
30
0.6
20
0.4
(a) IMax/IMin-1
10
0
0.2
(b) Standard deviation
0
20
40
60
Take off angle [deg.]
80
Relative intensity [A. U.]
50
0
Figure 2-2-9 Azimuth角を変えた時の光電子強度が,取り出し角の変化に対する依存性。 (a)
Azimuth角を変えたときの最大値と最小値の比,(b) Azimuth角を変えたときの強度変化の標準
偏差, (c) 全Azimuth角の光電子強度の積分値の相対強度。
2 10
4
Peak intensity [cps]
Si
4
4
0
1
Oxide thickness
5000
1.5
SiO2 0.5
0
2
4
dSiO2=0.71nm, σ=0.05(6.5%)
6
8
Cycle
10
Oxide thickness [nm]
1.5 10
1 10
2
Mg-kα[45deg.]
0
12
Figure 2-2-10 アナライザー仰角45度で実際に試料を回転させてSi(100)表面の自然酸化膜厚測定を
試みた結果。
- 50 -
2 10
4
Peak intensity [cps]
4
1.5
4
Si
SiO2
5000
0
1
0.5
Oxide thickness
0
2
4
dSiO2=0.80nm, σ=0.07(8.5%)
6
8
Cycle
10
Oxide thickness [nm]
1.5 10
1 10
2
Mg-kα[30deg.]
0
12
Figure 2-2-11 アナライザー仰角30度で実際に試料を回転させてSi(100)表面の自然酸化膜厚測定を
試みた結果。
Analyzer
X-ray
Photoelectron
θ
surface
Z
α
t1
t2
S
dz
Figure2-2-12 J. M. Hillの式を導くための各種パラメータを定義する図。
- 51 -
参考文献
[1] D. Briggs and M. P. Seah
: Practical Surface Analysis by Auger and X-ray
Photoelectron Spectroscopy, John Wiley & Sons (1983).
[2] 志水隆一, 吉原一紘 : ユーザーのための実用オージェ電子分光法,共立出版
(1989).
[3] S. Tanuma, C. J. Powell, D. R. Penn : J. Vac. Sci. Technol., A8 (1990) 2213 ;
J. Electron spectrosco. 52 (1990) 285 ; Surf. Interface Anal., 17 (1991) 927.
[4] M. P. Seah and W. A. Dench : Surf. Interface Anal., 1 (1979) 2
[5] J. M. Hill, D. G. Royce, C. S. Fadley, L. F. Wagner and F. J. Grunthaner:
Chem. Phys. Lett., 44(1976)225.
[6] J. Fulghum : Surf. Interface Anal., 20 (1993) 161.
[7] M. P. Seah and S. J. Spencer : Surf. Interface Anal., 33 (2002) 640.
[8] M. P. Seah, S. J. Spencer, F. Bensebaa, I. Vickridge, H. Danzebrink, M. Krumrey,
T. Gross, W. Oesterle, E. Wendler, B. Rheinländer, Y. Azuma, I. Kojima, N. Suzuki,
M. Suzuki, S. Tanuma, D. W. Moon, H. J. Lee, Hyun Mo Cho, H. Y. Chen, A. T.
S. Wee, T. Osipowicz, J. S. Pan, W. A. Jordaan, R. Hauert, U. Klotz, C. van
der Marel, M. Verheijen, Y. Tamminga, C. Jeynes, P. Bailey, S. Biswas, U. Falke,
N. V. Nguyen, D. Chandler-Horowitz, J. R. Ehrstein, D. Muller and J. A. Dura:
Surf. Interface Anal., 36 (2004) 1269.
[9] 中村誠, 菊地吉男, 吉田正道 : 第 52 回応用物理学会学術講演会予稿集 (1991)
705.
[10] H. Ebel, M. F. Ebel, R. Svagera and A. Hofman : Surf. Interface Anal., 18
(1992) 821.
[11] Th. Gross, A. LIppitz, W. Unger and B. Guttler : Surf. Interface Anal., 29
(2000) 891.
[12] A. Ishizaka, S. Iwata and J.Kamigaki : Surf. Sci., 84 (1979) 355.
[13] J. E. Fulghum, R. Stokell, G. E. McGuire, B. Patnaik, N. Yu, Y. J. Zhao and
N. Parikh:J. Electron Spectroscopy and Relative Phenomenom, 60 (1992) 117.
[14] M. F. Ebel, W. Liebl : J. Electron Spectroscopy and Relative Phenomenom, 16
(1979) 463.
- 52 -
[15] C. J. Powell and A. Jablonski : J. Vac. Sci. Technol., A19 (2001) 2604.
[16] M. P. Seah and S. J. Spencer : Surf. Interface Anal., 37 (2005) 731.
[17] http://csic.sw.ehu.es/jga/software/edac/a.html.
- 53 -
2-3
因子分析(ファクタアナリシス)を用いた深さ方向分析
電子分光法を用いて深さ方向分析を行う際には,試料構成元素の組み合わせによっては,
構成元素に起因するピークが重複するために各々の成分を抽出して強度を計測してプロフ
ァイルを求めることができない場合がある。 たとえばAESを用いてLSIの配線材料と
して長い間使用されてきたアルミを主成分とした合金の拡散防止膜やリソグラフィの時の
反射防止膜として用いられている窒化チタンの場合,窒素(N KLL[389 eV])とチタン(Ti
LMM[390 eV])のピークが重複するためにその強度成分を個別に抽出することができない。
チタン(Ti LMM)は複数のピーク(たとえば Ti LMM[421 eV])を持っているためにその成分を
抽出することが可能であるにもかかわらず,窒素は唯一のピーク(N KLL)がチタン(Ti LMM)
のピークと重複するためにその組成を求めることは困難である。 仮に同一元素を含む化合
物のスペクトルを測定して標準スペクトルと使用としても窒化物のような化合物になった
場合,そのピーク形状は化学状態を反映して変化するため必ずしも標準スペクトルと一致
しない。この様な問題を解決するために,因子分析法の有用性が提案されている。
[1][2][3][4] また,一般的に原子番号の大きな元素は多くのオージェピークを伴っている
ために,これらの元素が含まれる試料の分析を行う場合,ピークが重複する確率はさらに
高くなる。 例えば,磁性材料として用いたれている,鉄とコバルト,ニッケルによって構
成された合金では,特徴的な 3 連ピークが重複して現れるため,特にコバルトのピークは
独立して抽出することができないが,柳内らは因子分析をうまく適用して分析を行ってい
る。[5] (Figure 2-3-1 に鉄とコバルト,ニッケルの各オージェピーク[6]とそれらを足し
合わせたスペクトルを示す。) また,諸橋らはAES深さ方向分析スペクトルに因子分析
を適用することによってセリウムの価数の違いを反映した深さ方向変化を捉えている。[7]
ここで因子分析は表面分析に限らず,広く化学分析スペクトルの解析に用いられているデ
ータ解析手法である。[8]
因子分析は,多変量解析法の一種で,多変量データ(複数の変数から成り立つデータ)か
ら,潜在的ないくつかの共通因子を推定する手法である。 深さ方向分析結果への適用では,
各サイクルで測定されたスペクトルの集まりから複数の共通成分を推定し,推定された成
分を合成することによって各サイクルのスペクトルを説明しようというものである。 オペ
レータは,推定された成分の重要度に応じて意味のある成分と意味のない成分に分類しな
ければならない。 因子分析では,標準スペクトルを予め測定しておく必要はなく一連の測
定スペクトルから数値計算によってその形状を推定する点に特徴がある。
(並行して標準ス
- 54 -
ペクトルを予め測定し,最小二乗フィットでプロファイルに変換する試みも行われており,
因子分析との比較も行われている。)
因子分析は,観測したスペクトルが,個々の成分スペクトルの線形結合で構成されてい
る(加成性が成り立つ)場合にのみ適用することができる。 以下に因子分析による計算手順
を示す。 オージェ深さ方向分析によって得られるスペクトルを,n点のデータ点数を持つ
スペクトルを m 本(サイクル)取得すると考えると(n×m)データ行列 [D]として表記す
ることができる。 このデータ行列は,各成分の基準スペクトルのスペクトル行列[R]と各
成分の濃度を各成分の行列ベクトルに持つ濃度行列ベクトル[C]の積
[D ] = [R ][C ]
[2.3.1]
で表すことができる。この行列から共分散行列[Z]を作り,固有値解析を行うことにより
互いに独立した因子の数(成分数)を決定する。 つまり以下のようになる。
[Z ][Q] = [D]T [D][Q] = [λ][Q]
[2.3.2]
ここで[D]T は[D]の転換行列,[Q]は固有ベクトル,[λ]は固有値行列であり,[λ]から
寄与の小さいものを除いて固有値を決定する。 [λ] [Q]を最小の固有値数で再構成して
[λ+] [Q+]とすると(2.3.1)式を満足する一組の解[R+] [C+]が得られる。
[R ] = [D][Q ]
[C ] = [Q ]
+
+
+
[2.3.3]
+ T
[2.3.4]
ここで,[Q+]T は[Q+]の転換行列である。 得られた[R+],[C+]を物理的に意味のあるも
のにするために基準スペクトル[Rr]を用意し,[R+]と[Rr]の差が最小になるように最小
二乗法を用いて変換行列[T]を求め,意味のある解[R],[C]を決定する。
[R] = [R + ][T ]
[C ] = [T ]−1 [C + ]
[2.3.5]
[2.3.6]
以上のように因子分析は,複雑な行列計算であるため,その手順は煩雑極まりないもので
あるが,最近はEXCELなどの市販ソフトを利用することで比較的簡単に電子分光スペ
クトルに因子分析を適用することも可能である。[9] また,標準で因子分析をデータ処理
ソフトとして組み込んで販売されている装置(たとえば,Physical Electronics Inc.また
はアルバック・ファイ)もある。
因子分析の手順を実際のAES深さ方向分析結果とその時のスペクトル を用いて順を追
って説明する。
- 55 -
[実験]
因子分析の実際の手順を説明するために,シリコン基板を熱酸化[100nm]した後で,スパ
ッタリングによってチタン[100nm],窒化チタン[400nm]を同一チャンバ内で堆積して実験
のための試料とした。(TiN[400nm]/Ti[100nm]/SiO2[100nm]/Si-sub.)
本試料を用い,AE
Sによって深さ方向分析を行った結果を Figure 2-3-2 に示す。 ここで,使用した試料の
作成手順及び分析条件の詳細を,Table 2-3-1,Table 2-3-2 に各々示す。また,深さ方向
分析結果のスペクトルデータより,深さ方向分析中の,Ti-LMM 及び N-KLL のエネルギー領
域(350 eV~450 eV)のスペクトルの変化を Figure 2-3-3 に示す。これより,これまで報告
されている結果[1]同様にチタンと窒素のピークが重複するため分離することができてい
ないことが明らかにわかる。 このスペクトル群に対して主成分分析(Principal Component
Analysis :PCA)を試みた結果,Figure 2-3-4 が得られる。 これより Figure 2-3-2 ス
ペクトル群をひとつの因子(図中左上の■)」を用いると 97.5%,2つの因子を(図中左上
の二つの■)用いると 99.9%説明できることがわかり,数学的に Figure 2-3-3 のスペクト
ル群は,2つの因子で構成されていると考えることができる。 つまり,3 番目の因子以降
の 23 個の因子は,その寄与が結果に与える影響がほとんど無くノイズ成分と判断する事が
できる。 抽出された2つの因子は,Figure 2-3-5 であり,因子1は,窒化チタン領域の
ピークと一致しており,因子2はチタン(窒化していない)のピーク領域のピークと一致し
ていることから,各々窒化チタン,チタンの基準スペクトルを測定結果から抽出再現でき
ていると判断できる。 これらを用いて Figure 2-3-2 のチタンのプロファイルに適用した
ところ Figure 2-3-6 に示す様に非常によく説明できている事から,Figure 2-3-2 のプロ
ファイルを Figure 2-3-7 のように変換することができる。 このように因子分析を用いる
ことで,各元素が重複する場合(一般に原子番号が大きい元素は多くのサテライトピークを
伴っている)や,化学状態の変化が起きている場合(価数の推定など)に非常に有効であると
考えられ近年多くのアプリケーション例が報告されている。[10][11][12][13]
[まとめ]
因子分析の用途とその考え方について簡単に解説するとともに,実際にAESを用いて
TiN[400nm]/Ti[100nm]/SiO2[100nm]/Si-sub.の深さ方向分析を行った結果をもとに,その手
順を解説した。 これより,因子分析を用いることで窒化チタンとチタンを別の成分として
明確に区別することができたが,残念ながら窒素とチタンを明瞭に分離するには至ってい
- 56 -
ないため,新たな工夫が必要である。 しかし,本法を適用することでピークが重複するよ
うな材料系の深さ方向分析結果からピークの相互干渉を効率良く分離してプロファイルの
再構築ができると考えられる。
- 57 -
Table 2-3-1 実験に用いた試料作成手順。
Substrate
Pretreatment
Si(100) 10Ω・cm
1) NH4OH/H2O2
2) H2SO4/H2O2
Oxidation [100nm]
Ti deposition [100nm]
4) NHO3
100nm, 850℃, dry O2
sputter deposition using Ar
TiN deposition [400nm] suputter deposition using mixture gass of Ar and N2
Table 2-3-2 実験に用いたAES分析条件。
AES Physical Electronics Inc. 670xi
Probe : 10kV, 20nA, 30deg. from surface normal
Analysis Area : 1um×1um
Incidence angle : 30° from surface normal
Ion energy : 3kV
Zalar rotation : Yes
- 58 -
Fe
Relative Intensity [A. U.]
Co
Ni
SUM
400
400
600
800
Kinetic Energy [eV]
1000
Figure 2-3-1 磁性材料として用いられているFe, Co, Niの各AESスペクトルとそれらを足し合わせた
結果。
Fig.2-3-2 TiN[400nm]/Ti[100nm]/SiO2/Si-sub.のAES深さ方向分析結果(生データ)。
- 59 -
Ti L3M23M45
Ti L3M23M23 + N KLL
固有値
Figure 2-3-3 TiN[400nm]/Ti[100nm]/SiO2/Si-sub.のAES深さ方向分析結のTi-LMMスペクトル。
Component
Factor 1
Factor 2
Factor 3
Factor 4
Factor 5
%Var
97.483
2.391
0.057
0.035
0.015
sum(%Var)
97.483
99.874
99.931
99.966
99.981
ノイズ成分
因子番号
Figure 2-3-4 TiN[400nm]/Ti[100nm]/SiO2/Si-sub.のAES深さ方向分析結のTi-LMMスペクトル群に対
して主因子分析(PCA : Principal Component Analysis)を適用して因子数を決。
- 60 -
Factor 1
TiN層のスペクトルに一致
Factor 2
Ti層のスペクトルに一致
Figure 2-3-5 主因子分析によって抽出された2つの因子に相当するスペクトル。
Figure 2-3-6 主因子分析によって抽出された2つの因子に相当するスペクトルを用いてTi-LMMのプロ
ファイルを再構築した結果。
- 61 -
Figure 2-3-7 TiN[400nm]/Ti[100nm]/SiO2/Si-sub.のAES深さ方向分析結果に因子分析を適用した結
果。
- 62 -
参考文献
[1] D. G. Watson : PHI TECHNICAL BULLETIN 8905, Perkin-Elmer Physical Electronics
Division (1989).
[2] 藤田大介,吉原一紘 : 表面科学, 13 (1992) 286.
[3] 尾関徹 : ぶんせき, 4 (1991) 252.
[4] 田沼繁夫,原田朋子 : ぶんせき, 6 (1993) 613.
[5] 柳内克昭 : 信州大学学位論文 (2004).
[6] MultiPack V6.2 : Physical Electronics Inc.,(1994-2003).
[7] 諸橋智彦,工藤正博 : 表面科学,18 (1997) 304.
[8] 北田隆行,原田朋子,田沼繁夫 : J. Surf. Anal., 6 (1999) 209.
[9] 小島勇夫 : J.Surf.Analy., 4 (1998) 454.
[10] 藤田大介,吉原一紘 : 表面科学,14 (1993) 324.
[11] G. E. Hammer : J. Vac. Sci. Technol., A17 (1999) 895.
[12] T. Morohashi, T. Hoshi, H. Nikaido and M. Kudo : J. Vac. Sci. Technol., A16
(1998) 2257.
[13] M. A. Baker, A. Steiner, J. Haupt and Wei Ho : J. Vac. Sci. Technol., A13 (1995)
1633
- 63 -
2-4
角度分解XPSデータに最大エントロピー法を適用した深さ方向分析
LSIの微細化に伴い,LSIを構成する材料の薄膜化が急速に進んでいる。 特に,キ
ャパシタ誘電体膜とゲート絶縁膜は,すでに電子分光分析の信号発生深さより浅くなって
おり,薄膜を構成する原子を数えることも容易な膜厚になっている。 例えば現在使われて
いる酸窒化膜系(酸化シリコン膜中に窒素をドープしたもの)ゲート絶縁膜では,酸化シリ
コン薄膜中の窒素の分布がデバイス特性(ホウ素の突き抜け,キャリア移動度,信頼性など)
を大きく左右し[1][2],次世代のゲート絶縁膜として開発が進められているハフニウム系
の高誘電体(high-K)膜は,シリコン酸(窒)化膜系の薄膜との積層が必須とされている。 こ
れらの系では,不純物の分布がFETの閾値電圧の変動や界面準位等による移動度低下を
引き起こすと考えられているためナノメータオーダで薄膜中での元素分布を評価し,プロ
セスにフィードバックをかける必要がある。 現在このような膜のプロファイルを求めるた
めには,①低プローブエネルギーのSIMSを用いる[3][4][5][6] ②高分解能ラザフォー
ド後方散乱スペクトル(HR-RBS)[7][8][9][10]を用いる ③低エネルギーのイオンを
用いてスパッタリングを行い,非常に浅い仰角(<10°)で光電子(またはオージェ電子)スペ
クトルを取って深さ方向分析を行う。 ④X線の反射率(XRR:X-ray Reflective))から
深さ方向分布を推定する 等の試みが行われている。 低エネルギーのSIMS(①)や浅い
検出角度で低エネルギーイオンを用いたXPS(AES)深さ方向分析(③)では低エネルギ
ーとはいえ,表層を剥離するためにイオン照射を必須とするため試料内部に侵入したイオ
ンがミキシングを起こし界面にだれが生じる。 実際に1-3項で示したSRIM[11]を用
いて,試料法線方向から 60°方向からシリコン基板に入射した Ar+(2kV),Cs+(1kV)の飛程
を求めてみると,各々10nm,5nm 程度であり多少エネルギーを下げたくらいでは 1nm レベ
ルの薄膜構造を正しく測定できそうに無い。 また,多くの場合ゲート絶縁膜やキャパシタ
絶縁膜は,酸化物系であるためにSIMSでは,マトリックス効果が顕著に現れる(酸素の
存在量によって二次イオン強度が大きく変化する)ため定量化するのが困難であると考え
られる。 通常,HR-RBS(②)は,一般的に絶対定量ができるといわれているが,実際
には試料構造のモデル化とシミュレーションを繰り返すことが必要であるため容易ではな
い。 XRRでは,基本的に試料構成材料の密度の変化がX線の反射率に影響を与えている
ことを利用しているため,仮定すべきパラメータも多く正しくプロファイルが構築できて
いるのか最後まで疑問が残るように思われる(ただし界面の凹凸のように他の方法では得
られない貴重な情報を得ることができる点は特筆すべきである)。 電子分光を用いる(③)
- 64 -
の方法では深さ方向分解能をかせぐために低仰角で信号を取り込む測定を行うが,低角度
になるほど試料表面(表面あれや周期構造の切断効果)の影響や,2-2項で議論した様に,
高角度側に放出された電子が弾性散乱されて低角度側に放出される電子の影響が出てくる。
また,通常使用しているXPSのピークでは,いくらアナライザ仰角を小さくして信号取
り出し深さを浅くしたとしても,先端シリコンデバイスのゲート酸化膜(1nm 程度の薄膜)
への適用を考えると十分な深さ方向分解能を得ることができない。 角度分解XPS(AR
XPS)は,長い歴史を持つが,測定に多くの時間を有する割には,最終的に得られたデー
タを深さ方向のプロファイルに変換することが容易ではなかったため,汎用性に欠けてい
た。 もちろんある程度の熟練したオペレータは経験的に角度分解XPSによる強度変化や
各ピークの後方に現れるエネルギー損失に起因したバックグラウンド形状から,層構造を
予測してきてはいたが,微妙な違いを議論するのは困難であった。(前者は,検出角度を変
えて相対強度の変化に着目する見ることによって層構造を想像するもので,後者はピーク
の低運動エネルギー側に現れる非弾性散乱バックグラウンドの変化(増減)から層構造を想
像 す る も の で あ り S. Tougaard の 開 発 し た Q U A S E S (Quantitative Analysis of
Surfaces by Electron Spectroscopy)[12]は経験的な近似式をバックグラウンドのエネル
ギー損失スペクトルに適用して層構造を推定するものである。) また,分析結果を利用す
る(分析の依頼元の)立場に立つと相対的にどちらが上とか,なんとなくこのようなプロフ
ァイルであるというあいまいな表現より,絶対値はともかく具体的なプロファイルを示し
各層の構造やそれらの濃度を表示することを望まれる。
ARXPSによって得られた強度データから深さ方向プロファイルを推定するためには,
機知のプロファイル構造がどのようにARXPS強度データに影響を与えるのかに関して
ある程度想像しておく必要があり,これは Appendix4の[Equation 8]の積分式をもとに目
的構造を想定して拡張して解くことによって容易にシミュレーションすることができる。
たとえば,Figure 2-4-1 に示すようにいくつかのモデルを想定して,一定の深さに一定の
濃度 C0 で急峻な界面を持つ層と一定の濃度 C0 で電子分光の情報深さより十分な厚さの均
一を持つ構造を考え,十分広く X 線が照射されており(角度を変えても分析領域に X 線が
均一に照射されている領域にある),分光器の取り込み立体角や弾性散乱電子の影響などを
無視できるとすると,
(a) 均一なプロファイル構造の場合
I (θ ) / I 0 (θ ) = c 0 = const.
[2.4.1]
- 65 -
(b) 均一な構造の表面に膜厚 a の皮膜がある場合
I (θ ) / I 0 (θ ) = c0 ⋅ exp[- a/ (λ a ⋅ sin θ )]
[2.4.2]
(c) 膜厚 b の薄膜の場合
I (θ )/I 0 (θ ) = c0 [1 - exp{- b/ (λb ⋅ sin θ )}]
(d)
[2.4.3]
膜厚 b の構造の表面に膜厚 a の皮膜がある場合
I (θ )/I 0 (θ ) = c0 ⋅ exp[- a/ (λ a ⋅ sin θ )] ⋅ {1 − exp[− b / (λb ⋅ sin θ )]}
[2.4.4]
で表すことができる。 ここで,I(θ)は光電子強度のアナライザ仰角依存性,θはアナライ
ザ仰角,c0 は構造体の濃度,I0(θ)は濃度 c0 で十分な厚さまで均一の濃度を持つ試料を測定
する際の信号強度,λa は表面皮膜中での光電子の平均自由行程,λb は構造体そのものの
中での平均自由行程を各々示している。 ARXPSのデータから深さ方向分布を導き出す
試みは,(a)~(d)の4式の応用によるものである。
(実際には I0(θ)は,ランバートの余弦放
出則[Lambert's cosine law ](ある方向から出る単位立体角当たりの光束は,この面の法線
とその方向との間の角の余弦に比例することを述べた法則で,XPSでは cosθに比例し
て光電子強度が強くなること)と,θを変えると分析面積と X 線の面密度が変化するためあ
らかじめ使用装置の特性を把握しておかなければならない。)
これらの式をもとに実際に光電子強度の角度依存性のシミュレーションを試みた。 シ
ミュレーションでは, λ a=λ b=3nm で構造層の厚さが平均自由行程 λ の 1/3 の場合
(a=b=1nm)[Thin film]と,1/10 の場合(a=b=0.3nm)[Ultra thin film]に関して各々計算
を行った結果を Figure 2-4-2 に示す。 これより,構造層が薄くなると構造(b)と(c)の区別
をすることが非常に困難になり,特に sinθ<0.3(θ<17°)での強度変化なくして両構造の
違いを判断することが難しいということがわかる。 つまり,ARXPS強度から薄膜の構
造に関する情報を得るためにはある程度(可能な限り),試料構造に関する情報(予備知識)
を持って望まないと間違った結果になる可能性は大きい。 また,強度の弱いピークを用い
る場合も,強度の角度依存性に与える影響についても十分な考慮が必要である。
近年のコンピュータの高性能化によって,角度分解XPSの強度データに最大エントロ
ピー法(Maximum entropy methods : MEM)を適用して統計的に取り扱い,深さ方向分布
に変換することが提案されている。[13][14][15][16][17] MEMは情報理論の中の通信理
論において開発されたもので,例えば受信者が受け取った符号化されノイズの混じった信
号から送信者の送った元の信号を予測する方法のひとつであり,画像処理を必要とするさ
まざまな分野(電子工学・物理・科学・医学・天文学など)で応用されている。 MEMは,
- 66 -
ベイズ統計(Bayesian statistics)の方法を使って計算を行うもので,与えられた情報を満
足し,得られていない情報に関しては最も偏りのない解を推定する方法であり,偶発性の
高い値がデータ中に存在してもその平均値への影響を小さくすることができる。
ARXPSデータにMEMを適用することにより,非破壊(X線の損傷は免れない)で信
号発生深さより浅い薄膜中での元素の分布状態を知ることができるばかりでなく,各構成
成分の化学状態を反映した深さ方向分析結果を得ることができる。 ただし,先に述べた様
に試料構造に関する情報と組み合わせることなく安易にMEMを利用するのは危険である
と考えられる。) この結果,他の分析方法では得ることのできない,サブナノメータ薄膜
の構造に関するデータを導き出すことが可能になる。 また,XPSをベースにしているた
め単なる深さ方向分析に限らず化学状態の違いを反映したプロファイルの構築が可能とな
る。 本方法では,各ピークの脱出深さ(平均自由行程)がその結果に大きく影響をする。 ま
た,測定できる薄膜の厚さは光電子が脱出することができる深さ(Information Depth)に限
定されている。 ただし,単結晶シリコン基板上形成したゲート絶縁膜の評価を行う際には,
基板が単結晶のシリコンであるために角度分解XPSデータを取る際には,2-2項で議
論したように基板の信号が,光電子回折の効果を受けにくい角度を選択する必要がある。
最大エントロピー法の適用手順は,以下のとおりである。
1)適当なプロファイルモデルを仮定する。
たとえば,試料薄膜が厚さ⊿d の薄層の集まりで構成されていて,各元素が適当に
存在するプロファイルモデルを仮定する。(例えば,ある角度で測定された結果か
ら導き出された濃度を持つ均一構造を仮定する。)
ここで薄層⊿d の厚さは必要
とされる深さ分解能であり,コンピュータの性能と,計算精度,計算時間に応じ
て適当に決めればよい。
2) 仮定したモデルプロファイルから予想されるARXPS強度の検出角度依存性
を計算する。
3) 下式を用いて測定結果と見積もり結果との誤差を計算する。(σ:標準偏差)
χ
2
(I
=Σ
calk
k
− I kobs
)
2
[2.4.5]
σ2
ここで, I kcalk は,元素 k の計算で求められた相対強度, I kobs は,元素kの実測によ
る相対強度を各々あらわしている。
4) 下式でエントロピー項を計算する。
- 67 -
⎛ c ji
S = Σ j Σ i [c ji − c 0ji − c ji log⎜ o
⎜c
⎝ ji
⎞
⎟]
⎟
⎠
[2.4.6]
ここで C ji は i 層中での元素 j の濃度, C 0ji は i 層中での元素 j の初期設定濃度で
ある。
5) 下式(同時確率関数)を最大にするように上記モデル(濃度)を変化させる。
(χ2 を最小にし,エントロピーを最大にする。) (α:調整定数)
Q = αS − 0.5χ 2
[2.4.7]
本法では,測定結果と構築されたプロファイルにより推定された強度の二乗和が小さく
なり,エントロピーが最大になるところの間に正しい結果がある(Figure 2-4-3)いう考え
に立脚している。[2] 調整定数αがエントロピーの項 S と最小二乗の項χ2 の効き方を決め
ており,小さくすると最小二乗によって与えられた結果がプロファイルを決定付ける。 実
際に最小二乗法のみを用いてARXPSで得られた取り出し角度に対する各元素の強度変
化を説明するモデルを構築する方法で未知試料のプロファイル計算を試みる場合,極小点
(フィッティングの合う点)が複数ある場合におかしな点で収束してしまう可能性があり,
何らかの理由で異常なデータがまぎれていた時にその効果が結果に大きく影響を与えてし
まう。 このような問題点を解決するためにエントロピーの項を加えることによってすべて
のデータを最も偏りのないように調整しているわけである。
本法は,数 nm 以下の厚さのゲート絶縁膜やキャパシタ絶縁膜の評価,さらには反応性イ
オンエッチングに代表されるプラズマ等にさらされ表面が改質された層の評価に有効と考
えられる。
実際にMEMを適用するにあたり,アルバック・ファイ㈱によって作成中(作成をお願い
した)のMEM解析ソフト[18]を利用し,その動作確認のために箱だしシリコン基板とシリ
コン基板上に酸窒化シリコン(SiON)膜(正しい分布は不明であるが,SIMSによる評価で,
酸化膜と基板の界面に窒素が偏析していると評価された試料)を成長させた試料を測定し
た結果を Figure 2-4-4~Figure 2-4-7 に示す。 ここで Figure 2-4-4 は,箱だしウエハ表
面(基板シリコン上に自然酸化膜が形成されている。)のARXPS分析結果を示しており,
Figure 2-4-5 は,その結果にMEMを適用して深さ方向プロフファイルを構築した結果を
示している。 これより,界面部で酸素量が若干多く見える点を除き概ね均一な酸化膜が形
成されていることが現れており,概ね正しくプロファイルが構築されていると思われる。
同様に,Figure 2-4-6 は,シリコン基板上に酸窒化膜を堆積した試料のARXPS分析結
- 68 -
果を示しており,Figure 2-4-7 は,その結果にMEMを適用して深さ方向プロファイルを
構築した結果を示している。 ここで,Si-O と示しているのは,基板成分でないシリコン
のピークに対応しており,SiO2,SiON,SiN 等の区別をしていないため,その全てのピーク
である。 これより,SIMS分析で界面に偏析しているように測定された窒素が,ARX
PS-MEMにおいても界面に観察されており,プロファイルの構築に成功しているもの
と思われる。(ただし定量値が,若干異常な値を示しているため感度係数補正がまだ十分で
はなさそうである。)
[まとめ]
半導体産業界では,数ナノメータ以下の超薄膜の評価が必要になっていることを述べる
とともに,そのニーズに答える可能性のある角度分解光電子測定データに最大エントロピ
ー法を適用する試みを紹介するとともにその考え方について解説を行った。 また,最大エ
ントロピー法をゲート絶縁膜相当の酸化シリコンと酸窒化シリコン膜(基板と酸化シリコ
ンの界面に窒素が偏析)に適用し,予想通りのプロファイルが再構築されることを確認した。
さらに簡単な構造モデルを基に光電子強度の取り出し角度依存性をシミュレートした結果,
構造モデルを想定せずにブラックボックスとして最大エントロピー法を適用する事の危険
性を指摘した。
本法は,ゲート絶縁膜やキャパシタ絶縁膜,銅配線のバリアメタル,イオンエッチング
時のダメージ層の評価等に有効な手段と考えている。
- 69 -
(b)
c0
(a)
c0
a
(c)
(d)
c0
c0
a
b
a+b
Figure 2-4-1 深さ方向に構造を持つモデル (a) 濃度C0で均一組成, (b) 厚さaの皮膜の下に濃度C0
で均一組成, (c) 濃度C0で厚さbの皮膜, (d) 厚さaの皮膜の下に濃度C0で厚さbの薄膜。
(b) Ultra thin film
(a) Thin film
(a)
(b)
(c)
Relative intensity
Relative intensity
(a)
(b)
(c)
(d)
(d)
sin θ
sin θ
Figure 2-4-2 Figure 2-4-1の各種構造の場合の光電子強度の取り込み仰角依存性をシミュレーショ
ンした結果。
- 70 -
f2
χ2 = no. of degrees of freedom
Most probable α
Contours of χ2
Maximum entropy
trajectory
Contours of entropy
f1
Figure 2-4-3 最大エントロピーの概念を示す図。
Figure 2-4-4 Si基板上の酸化膜のARXPS分析結
果。
Figure 2-4-5 Si基板上の酸化膜のARXPS分析
結果にMEMを適用した結果。
Figure 2-4-6 Si基板上のSiON膜のARXPS分析
結果。
Figure 2-4-7 Si基板上のSiON膜のARXPS分析
結果にMEMを適用した結果。
- 71 -
参考文献
[1] S. Nakai, Y. Takao, S. Otsuka, K. Sugiyama, H. Ohta, A. Yamanoue, Y. Iriyama,
R. Nanjyo, S. Sekino, H. Nagai, K. Naitoh, R. Nakamura, Y. Sanbonsugi, Y. Tagawa,
N. Horiguchi, T. Yamamoto, M. Kojima, S. Satoh, S. Sugatani, T. Sugii, M. Kase,
K. Suzuki, M. Nakaishi, M. Miyajima, T. Ohba and I. Hanyu : Symposium on VLSI
Technology, (2002) 66.
[2] 杉井寿博 : 応用物理, 72 (2003) 1121.
[3] たとえば,K. L. Yeo, A. T. S. Wee, R. Liu, F. F. Zhou and A. See : J. Vac.
Sci. Tech., B21 (2003) 193.
[4] たとえば Yukiharu Uraoka, Hiroshi Yano, Tomoaki Hatayama and Takashi Fuyuki :
Jap. J. Apl. Phys., 41 (2002) 3637.
[5] たとえば Yukiharu Uraoka, Hiroshi Yano, Tomoaki Hatayama and Takashi Fuyuki :
Jap. J. Apl. Phys., 42 (2003) 1145.
[6] S. Ferrari, M. Perego and M. Fanciulli : J. Vac. Sci. Technol. A20(2002)781
[7] たとえば,H. S. Chang, H. D. Yang, H. Hwang, H. M. Cho, H. J. Lee and D. W.
Moon
: J. Vac. Sci. Tech., B20 (2002) 1836.
[8] Kenji Kimura, Kaoru Nakajima, Yasutaka Okazaki, Hajime Kobayashi, Shiro Miwa
and Kotaro Satori : Jpn. J. Appl. Phys., 39 (2000) 4663.
[9] Kaoru Nakajima, Yasutaka Okazaki and Kenji Kimura : Jpn. J. Appl. Phys., 39
(2000) 4481.
[10] Kaoru Nakajima, Kenji Kimura, Akira Kurokawa, Shingo Ichimura and Hisashi
Fukuda : Jpn. J. Appl. Phys., 40 (2001) 4011.
[11] http://www.SRIM.org.
[12] http://www.quases.com/.
[13] G. C. Smith and A. K. Livesey : Surf. Interface Anal., 19 (1992) 175.
[14] A. K. Livesey and G. C. Smith : J. Electron Spectrosc. Relat. Phenom., 67 (1994)
439.
[15] W. S. M. Werner, G. C. Smith and A. K. Livesey : Surf. Interface Anal., 21
(1994) 38.
[16] H. Kato, N. Nishizaki, K. Takahashi, H. Nohira, N. Tamura, K. Hikazutani,
- 72 -
S.Sano, T.Hattori : Appl. Surf. Sci., 190 (2002) 39.
[17] J. P. Chang, M. L. Green, V. M. Donnelly, R. L. Opila, J. Eng. Jr., J. Sapjeta,
P. J. Silverman and E. Garfunkel : J. Appl. Phys., 87 (2000) 4449.
[18] David G. Watson, Akihiro Tanaka 私信 : MEM version α1.0(fujitsu test).
- 73 -
2-5
XPSによるバンドアライメント決定
半導体デバイスの微細化が推し進められている中で,シリコンデバイスの黎明期から一
貫して使用され続けてきた酸化シリコンを中心としたゲート酸化膜に物理限界が見え始め
ている。 DRAMのキャパシタ絶縁膜は,すでに酸化シリコンや窒化シリコンでは十分な
誘電率を得ること事ができなくなっており,早々と高誘電率の材料を採用している。 半導
体プロセスに新しい材料を導入していくためには,新材料と既存材料の適合性,既存プロ
セスとの適合性を事前に評価しておく事が不可欠である。 新しい誘電体材料を採用した際
のデバイス特性を予測する上で,基板やゲート電極(キャパシタの場合は上下電極)と絶縁
膜間のバンドアライメントを評価しておく事は,新材料の整合性を考える上で非常に基礎
的かつ重要な情報を得ることができる。
つまり Figure 2-5-1 に示すようなバンドダイアグラムをあらかじめ作成することができ
れば,新しい誘電体材料のふるい分けが可能となる。(ここでは,半導体と絶縁体の界面,
金属と絶縁体の界面のバンドダイアグラムを示している。)たとえば,基板(ゲート電極)
の伝導帯と絶縁物の伝導帯の間のエネルギー差が小さい場合,わずかな電圧を印加するこ
とで絶縁膜側から基板(ゲート電極)側に電子が注入されてしまうために,ゲートと基板の
間にリーク電流が流れやすくなるためである。
宮崎らは,XPSスペクトルを用いてバンドアライメントを決定する方法を提案してお
り[1][2][3]そのほかの機関からも同様の報告[4][5]が行われている。 また,筆者らは本
方法をDRAMのキャパシタとしてのMIM構造の評価に適用している。[6]
宮崎らは,XPSを用いて O1s 光電子のエネルギー損失スペクトルから絶縁膜のバンド
ギャップを求め,価電子帯スペクトルを用いて基板と絶縁膜のバンドオフセットを求める
ことによって酸化シリコン,アルミナ,タンタル酸化膜などと基板の間のバンドアライメ
ントを決定している。
宮崎らによって提案されたXPSスペクトルからバンドギャップを求める方法およびバ
ンドオフセットを決定する方法の概略を Figure 2-5-2 に示す。 (a)図に示すように,試料
内部で発生した光電子は,誘電体薄膜中を通過する過程で,弾性散乱や非弾性散乱を繰り
返した後に試料表面に到達し分光器を通って検出される。 この電子励起から,表面から放
出されるまでの過程で非弾性散乱によってエネルギーを失う際の最も小さなエネルギー損
失量は,価電子帯(最上部)にある電子を伝導帯(最上部)に励起するエネルギーに相当して
おり,これがすなわちバンドギャップに相当しているわけである。 実際には,ゲート絶縁
- 74 -
膜の主成分が酸素であること,酸素(O1s)の感度が非常に高く,鋭いピークであることから
O1s を用いてそのピーク位置からエネルギー損失の開始位置までのエネルギー差を測定す
ることによってバンドギャップを決定している。 勿論,基板を構成する成分のピーク強度
が十分強く鋭い場合には,そのピークのエネルギー損失ピークを用いることもできる。 本
法は, 光電子ピークのエネルギー幅(自然幅)によって測定可能なバンドギャップの大きさ
が限定されるためバンドギャップの大きな材料(絶縁膜)に対しては有効と考えられるが,
さほどバンドギャップの大きくない材料(たとえば半導体)の測定は困難である。 いっぽう,
バンドオフセットの測定は,XPSスペクトルが原理的にフェルミ準位を基準にして電子
の占有状態密度を計測していることを利用する。 つまり,(b)図に示すように価電子帯スペ
クトルを測定し,基板(下部電極)からの成分または,誘電体薄膜からの成分(あらかじめ標
準試料を用いて測定しておく)のいずれかを除去することができれば,基板(下部電極)の価
電子帯最上部と,絶縁膜薄膜の価電子帯最上部の相対エネルギー差(バンドオフセット)を
決定できるというわけである。 本法では半導体と絶縁膜系の場合は相対エネルギー差から
バンドオフセットを決定できるが,金属と半導体の系の場合は,金属が接地電位になって
いる(結合エネルギーゼロから状態密度が始まる)事が重要となる。 ここで,基板が半導体
単結晶基板の場合(通常は素性の知れた安定な単結晶であるため),バンドギャップは機知
(絶対零度の時
シリコン : 約 1.12eV, ゲルマニウム : 約 0.67 eV(Table1-1-2))の値を
用いるとよい。(金属の場合,バンドギャップが無い。) 実際には,エネルギー損失スペク
トルからバンドギャップを求める方法も価電子帯スペクトルからバンドオフセットを求め
る方法も通常使用する光電子スペクトルに比べて 2 桁以上小さい信号強度をもつ情報であ
るために十分な積算や平滑化によってS/Nの向上をはからなければならない。
本法を実際の試料に適用する際には,エネルギー損失位置の規定方法とフェルミ準位近
傍でのピークの立ち上がり箇所の規定の仕方に任意性が出るのが難点といえるが,われわ
れは最小二乗法を用いた一次回帰を用いることで立ち上がりエネルギーの任意性を減らす
試みを行っている。
- 75 -
(b) 金属/絶縁膜界面
(a) 半導体/絶縁膜界面
E gdielec
E gsemi
E gdielec
Eoffset
Eoffset
dielectric
semiconductor
dielectric
metal
Figure 2-5-1 半導体と絶縁膜,金属と絶縁膜の間のバンドダイアグラム。
Ec
Photoelectron
intensity
Ev
Eg : band-to-band excitation
(b) 価電子帯スペクトルからバンドオフセットを求める方法
Eg
Fermi edge
Dielectric+
Electrode
Dielectric
Eg
O1s
Photoelectron intensity
Binding Energy (eV)
Core level peak
Binding Energy (eV)
(a) 光電子ピークからバンドギャップを求める方法
Band offset
Dielectric
Electrode
Figure 2-5-2 半導バンドダイアグラム作成法の原理図 (a)光電子ピークからバンドギャップを求める
方法の原理図 (b)価電子帯スペクトルからバンドオフセットを求める方法の原理図。
- 76 -
参考文献
[1] S. Miyazaki, H. Nishimura, M. Fukuda, L. Ley and J. Ristein : Appl. Surf. Sci.,
113/114 (1997) 585.
[2] Hiroshi Itokawa, Tetsuhiro, Maruyama, Seiichi Miyazaki and Masataka Hirose :
Ext. Abst. SSDM, (1999) 158.
[3] Seiichi Miyazaki : J. Vac. Sci. Technol., B19 (2001) 2212.
[4] H. Y. Yu, M. F. Li, B. J. Cho, C. C. Yeo, M. S. Joo, D. –L. Kwong, J. S. Pan,
C. H. Ang, J. Z. Zheng and S. Ramanathan : Appl. Phys. Lett., 81 (2002) 376.
[5] P. D. Kirsch, C. S. Kang, J. Lozano, J. C. Lee, J. G. Ekerdt : J. Appl. Phys.,
91 (2002) 4353.
[6] Makoto Nakamura, Masaaki Nakabayashi : J. Surf. Anal., 9 (2002) 424.
- 77 -
2-6
XPSによるバンドギャップ決定法の問題点とその解決策
前項(2-5)で議論した宮崎らの行った O1s スペクトルからバンドギャップを決定する方
法は,下地電極が酸化物の場合や酸素が多様な化学状態で存在している場合,O1s スペク
トル中に複数のピークが重複するため,注意が必要である。 この事を具体的に説明するた
めにシリコン基板上に堆積した酸化シリコン,アルミナ,ハフニウム酸化膜の O1s スペク
トルを Figure 2-6-1 に各々示している。 ここで酸化シリコンはシリコン基板を熱酸化
[100nm]したもの,アルミナはMOCVD法で堆積[20nm]したもの,ハフニウム酸化膜は,
自然酸化膜(SiO2)上にALD(Atomic Layer Deposition)法で成膜[3nm]した試料の O1s ス
ペクトルのピーク位置を合わせて強度を規格化して示している。 これより,シリコン基板
上の酸化シリコンのようにバンドギャップが比較的大きく O1s スペクトルが単一のピーク
で構成されている場合は,容易にバンドギャップを決定することができるのに対して,ア
ルミナのように比較的バンドギャップが狭く,水酸化物(AlOH)のピークが低運動(高結合)
エネルギー側に観察される場合は,バンドギャップを決定するのが困難になる。 さらに,
高誘電体ゲート絶縁膜材料として着目されているシリコン基板上のハフニウム酸化膜やハ
フニウムシリケート(HfSiO や HfSiON)への適用を考えると,バンドギャップが狭い上に,
O1s スペクトルは,ハフニウム酸化膜に起因する成分と自然酸化膜であるシリコン酸化膜
に起因する成分(またはシリケートに起因する成分)が重複(シリコン酸化膜に起因する成
分は,ハフニウム酸化膜に起因する成分より高結合エネルギー(低運動エネルギー)側にピ
ークが出現する)しており,バンドギャップを定義する際に基準エネルギー位置がわからな
くなる上に,Hf4s がエネルギー損失ピーク開始位置に出現するため正しくバンドギャップ
を規定するのは困難である。(Hf4s といえども酸化によって少なからずピーク形状やピー
クエネルギーに化学効果が表れると考えられるため,あらかじめ純物質や窒化物の標準試
料で Hf4s と他のハフニウムのピーク(相対強度と相対ピーク位置と形状)を測定しておき,
実試料のスペクトルからこの寄与を除去するという手段をとることもできない。) 実際に
次世代デバイスのゲート絶縁膜材料として最も注目されている(最も近い将来採用される
と 考 え ら れ る ) の は ハ フ ニ ウ ム シ リ ケ ー ト (HfSiON) ま た は ハ フ ニ ウ ム ア ル ミ ネ ー ト
(HfAlON)と言われており(詳細は第4章),それらの O1s スペクトルは非常に複雑なものと
考えられる。
(なぜなら酸化シリコン系の膜とハフニウムシリケート系の膜の積層構造が必
須と考えられており,それらの組成も一定ではない可能性があるからである。) これらの
問題点を解決する検討の中で,電子銃から発せられる電子が,試料表面近傍で弾性散乱さ
- 78 -
れる時のエネルギー損失スペクトルを測定することで O1s スペクトルを用いる際の問題点
がすべて解決される事に気がついた。 つまり,光電子スペクトルを用いる代わりにプロー
ブの弾性散乱電子のエネルギー損失スペクトルを用いることでハフニウム系酸化のバンド
ギャップの測定が可能になる。
なぜなら光電子もプローブ電子も同じ電子であるためで
ある。 さらに弾性散乱電子のエネルギー損失スペクトルを用いる事で以下の恩恵を受ける
ことができる。
1) 電子のエネルギーをコントロールすることで任意に分析深さをコントロールでき
る。
2) 高電流を投入することが可能になるため,短時間で高いS/N比のデータを得るこ
とができる。(光電子の測定の場合X線ターゲットに電子を照射しX線を発生し,そ
のX線でたまたま励起された O1s を利用するために効率が悪い。)
3) 光電子に比べてエネルギー幅が小さいため,バンドギャップの小さな材料への適用
が可能である。とくに電界放射型(Field Emitter:FE)の電子銃を用いると効果が
大。)
4) ピーク形状が単純(単一のガウス関数で近似できると考えられる)であるため複数
の絶縁膜の積層を認知できる可能性がある。
5) プローブを絞って照射することができるので微小領域のバンドギャップの測定が
可能である。
6) 弾性散乱ピークより高いエネルギー領域にピークが存在しないためバックグラウ
ンドが極めて小さいため高い測定精度でピーク及びエネルギー損失位置を規定でき
る。
実際にシリコン基板上にMOCVD法を用いて堆積したハフニウムオキシナイトライド
膜[1nm]を電子プローブの弾性散乱電子のエネルギー損失スペクトルの測定とXPS(モノ
クロ)で測定した時の O1sスペクトルのピーク位置を合わせて強度を規格化表示した結果
を Figure 2-6-2 に示す。(実験条件の詳細は,4 章の高誘電体膜の評価の項に記す。) こ
れより,XPSスペクトルでは,先述した問題点のためにバンドギャップを決定すること
が困難であるのに対して,弾性散乱スペクトルを用いることにより,バックグラウンドが
小さくなにより単一でシャープでなピークを得ることができているためバンドギャップの
決定が容易になること事が判る。
今回の実験では,LaB6 電子銃から発生した電子をプローブに用いたが,最近は電界放射
- 79 -
型の電子銃が一般的になっているため,これを用いることによってさらに高エネルギー分
解能の測定が可能になると思われる。 今回のエネルギー損失スペクトルの測定は,XPS
を ベ ー ス と す る 装 置 を 用 い た た め 静 電 半 球 型 の ア ナ ラ イ ザ ( C H A : Concentric
Hemispherical Analyzer)で⊿E=const.の条件での測定を行っている。
今回のXPS測定条件(モノクロメータを使用)で,酸化シリコン膜を測定した時の O1s
ス ペ ク ト ル の 半 値 幅 は お よ そ 1.1eV で あ っ た の で , 円 筒 鏡 ア ナ ラ イ ザ ( C M A :
Cylindrical Mirror Analyzer)の分解能の条件のもとで 500V のプローブを用いて通常より
少しだけエネルギー分解能の高い条件で弾性散乱電子を測定すればXPSよりも分解能の
高い測定が可能である。(例えば⊿E/E=0.2 の分解能条件では弾性散乱ピークのピーク幅が
1eV になる。)
本法の欠点は,
1) 電子線によって酸化膜が還元する可能性がある。[3]
2) 絶縁膜が厚い時帯電する可能性がある。(これはモノクロをプローブにするXPS
でも同様)
であり,プローブの電流密度を高くしなければ問題は無い。
[まとめ]
宮崎らによって提案された光電子スペクトルのエネルギー損失スペクトルからバンドギ
ャップを求める際には,複数の化学状態が存在する場合や近接ピークが存在する場合は,バ
ンドギャップを規定することが非常に難しい事を指摘した。 また,実際に高誘電体ゲート
絶縁膜の候補として研究が進められているアルミナやハフニアへ適用することによってそ
の問題点を明確にした。 それらの問題点を解決するために電子線をプローブにしてその弾
性散乱スペクトルのエネルギー損失スペクトルを利用することを提案し,実際に適用する
ことで O1s 光電子ピークに比べて単純でシャープなピークが得られることを確認した。弾
性散乱スペクトルは,電子をプローブにするため,微小領域の評価が可能であり,電流密
度も光電子より高いために短時間で測定ができる。 また,そのプローブエネルギーを変え
ることで分析深さもコントロールできる。 そして,弾性散乱ピークより高運動エネルギー
側に電子が存在しないため非常に小さなバックグラウンドでの測定が可能になりピークの
抽出が非常に簡単になり測定精度が向上すると考えられる。
- 80 -
(a) SiO2
0.4
0.2
-10
-5
0
5
Relative electron energy [eV]
0.6
AlOH
0.4
0.2
-10
Relative intensity [A. U.]
0.04
0.06
easy
0.02
0.04
0.01
Al2O5
0
5
-5
0
5
Relative electron energy [eV]
10
0
-15
0.6
0.2
HfO2
-10
-5
0
5
10
5
10
Relative electron energy [eV]
0.08
sometime
difficult
0.06
0.04
0.02
Al2O3
-10
SiO2
0.4
0.1
0.02
-10
0.8
0
-15
10
AlOH
0.08
0.03
-5
Relative electron energy [eV]
0.1
Relative intensity [A. U.]
0.05
0
-15
0.8
0
-15
10
Relative intensity [A. U.]
0.6
1
Relative intensity [A. U.]
Relative intensity [A. U.]
Relative intensity [A. U.]
1
0.8
0
-15
(c) HfO2
(b) Al2O3
1
-5
0
5
Relative electron energy [eV]
10
0
-15
Hf4s
SiO2
every time
difficult HfO2
-10
-5
0
Relative electron energy [eV]
Figure 2-6-1 各種絶縁膜のO1sスペクトルからバンドギャップを求めるためにシリコン基板上に堆積し
た各種絶縁膜を実際に測定を行った結果。(a) SiO2の場合,(b) Al2O3の場合,(c) HfO2の場合に
ついてO1sのピークを零にして相対エネルギー表示したもの。
Figure 2-6-2 シリコン基板上にMOCVD法で堆積したHfSiON膜[1nm]のO1sスペクトル(モノクロ)と
電子銃を搭載しているXPS装置を用いた弾性散乱スペクトルの比較。
- 81 -
参考文献
[1] Makoto Nakamura, Akihiro Tanaka, David G. Watson, Masaru Shimomura, Yasuo
Fukuda, ShiQin Xiao and Kazuto Ikeda : J. Surf. Anal., 12 (2005) 263.
[2] 中村誠,下村勝,福田安生 : 静岡大学大学院電子科学研究科研究報告,27 (2006) 9.
[3] 中村誠,岸田悟,鈴木峰晴 : 表面科学, 18 (1997) 21.
- 82 -
第3章
3-1
サリサイドプロセスがシリサイド化反応に及ぼす影響[1]
はじめに
MOSFETのゲート長が2μm以下になってきた時,ゲート電極として長く用いられてき
た不純物をドープした多結晶シリコン(比抵抗:800~1000μΩ・cm)では,配線抵抗が高すぎ
るために素子の動作速度に直接影響を与えるようになってきた。(配線遅延時間は,配線抵
抗と寄生容量の積で表される。) このため,ゲート配線抵抗を1桁以上下げることを目的に
して,シリサイドを従来の不純物をドープした多結晶シリコン上に積層した形でゲート電極
材料として導入され始めた。(ゲート絶縁膜と多結晶シリコンの相性が非常に良好なである
ため。) ここで,MOSFETのゲート電極としてのシリサイドを適用するためには,1000℃
程度の熱処理に耐えることが不可欠(ゲート部をマスクにしてソース・ドレイン領域に選択
的にイオン注入された不純物を活性化させるため)であるため高融点金属シリサイドに限定
される。 (実際には,モリブデンシリサイドやタングステンシリサイド[2][3]が最初に導入
された。)
今日の高速CMOS(Complementary Metal Oxide Semiconductor)ロジックデバイス内の
高融点金属シリサイドは,ゲート電極上のみならず,接触抵抗を低下する目的でソース・ド
レイン部に使われており[4],デバイス性能を大きく左右する最も重要な材料のひとつにな
っている。 シリサイドは,商品化されているシリコン系トランジスタを構成する材料中で
唯一の金属材料(もちろん配線材料や後工程には,金属が使われており,次世代ではゲート
絶縁膜を金属含有高誘電体膜にすることや,ゲート電極を金属にする方向で動いている)で
ある。 主な遷移金属シリサイドの物性[5]をTable 3-1に比較して示す。 CMOSデバイス
は,Figure 3-1に示す様にp-MOSトランジスタとn-MOSトランジスタの一対を基本単位
として構成されている。 高速ロジックデバイスを設計するためにはゲート配線抵抗,ソー
ス・ドレイン領域の抵抗,トランジスタ間を結ぶ配線抵抗及び配線とトランジスタのコンタ
クト抵抗を下げる必要がある。[6] シリサイドをデバイスに利用するためには,1)低配線抵
抗, 2)低コンタクト抵抗(配線とトランジスタ間), 3)低接合リーク電流(ソース・ドレイ
ン部と基板の間のp/n接合リーク) を満たさねばならない。[6] トランジスタのゲート電極
である多結晶シリコン表面とソース・ドレイン部のシリコン表面にシリサイドを適用するこ
とで,ゲート配線の抵抗を下げ,ソース・ドレイン部の接触抵抗を下げることができる。 こ
れらのシリサイドは,通常サリサイド(Self Align Silicide : SALICIDE)プロセ
スを用いて,ゲート電極表面とソース・ドレイン領域に自己整合的に形成する技術が一般的
83
に用いられている。 つまり,サリサイドプロセスはシリサイド化反応が清浄なシリコン(水
素終端シリコン)が露出している箇所でのみ進行する(酸化シリコンの上では反応が進行し
ない)という,シリコンと金属の固相反応の選択性を利用して,ソース・ドレイン部とゲート
部上だけにシリサイドを形成するものである。 このため,写真食刻(フォトリソグラフィと
エッチング)プロセスが不要となり,LSIの製造工程を大幅に短縮することが可能(つまり
低コスト)であることから,近年の高速CMOSロジックデバイスを作成する上で欠くこと
のできないプロセスである。[6][7] サリサイドプロセス(プレーナー型トランジスタへの適
用)の概略をFigure 3-2に示すとともに以下に順を追って簡単に概要を解説する。
(a) STI(Shallow Trench Isolation)法[8]によって素子領域を分離したシリコン基板
上に熱酸化等によってゲート酸化膜を成長させ,その上にLPCVD(Low Pressure
Chemical Vapor Deposition)法を用いてゲート電極としての多結晶(または非晶質)シリ
コンを堆積する。
[Figure 3-2(a)]
(b) 次にフォトリソグラフィ法と反応性イオンエッチング(Reactive Ion Etching : R
IE)によって多結晶(または非晶質)シリコンを加工してゲート電極を形成する。(多結
晶シリコンゲート電極の形成は通常臭素(Br)を主成分としたガスプラズマを用いる。
また最近のデバイスでは,要求されるゲート幅が光の解像度以下になっているので窒化
シリコンなどによるハードマスクをトリミング(スリミング)するというトリッキーな
技術を導入して90nm世代に60nm程度のゲート電極を量産している。) [Figure 3-2(b)]
(c) 多結晶シリコンによるゲート電極をイオン注入マスクにしてLDD (Lightly Doped
Drain)構造のエクステンション部を作成するための低濃度イオン(n型の場合は砒素イ
オン,p型の場合は硼素イオン)注入を行なう。(LDD構造にすることによってゲート
端とソース・ドレイン部間の電界集中を避けることができる。) [Figure 3-2(c)]
(d) ゲート電極の側壁絶縁膜とするためにCVD法により酸化膜(本実験では酸化膜であ
るが必要に応じて窒化シリコン膜やそれらの積層膜を利用する場合もある)を全面に堆
積する。 [Figure 3-2(d)]
(e) フロロカーボンを主成分にするガスプラズマによる異方性の反応性イオンエッチン
グを行なうことにより,自己整合(選択)的にゲート電極側壁部のみに絶縁膜を残す。(フ
ロロカーボン系のガスプラズマでシリコン基板上の酸化シリコンのエッチングを行う
とFigure 3-3に示すように,基板が露出した瞬間にシリコン表面にフロロカーボン・ポ
リマが形成され反応に直接寄与しているハロゲンイオンをブロックするため,基板に対
84
して非常に高い選択比で酸化膜を除去することができる。) [Figure 3-2(e)]
(f) ゲート電極とその側壁に(e)工程で形成した絶縁膜をマスクにして,LDD構造のソ
ース・ドレイン部となる領域に高濃度イオン(n型の場合砒素イオンp型の場合硼素イ
オン)を注入した後で,注入した不純物が極力拡散せずに効果的に活性化するためのR
TA(Rapid Thermal Anneal)を行ない,トランジスタを完成させる。 [Figure 3-2(f)]
(g) ソース/ドレイン上部及びゲート電極上に露出したシリコン表面の自然酸化膜等をフ
ッ酸系の薬液で除去(水素終端 [9][10][11][12])した後にスパッタリング法によりシ
リコンと選択的に固相反応させるコバルトとその酸化防止層(酸化防止膜が無いと固相
反応を促す熱処理時にコバルトが酸化してしまう。)としての窒化チタン(窒素とアルゴ
ンの混合ガスプラズマ中でチタンターゲットをスパッタすることで窒化チタンが成膜
される)を順次積層する。 [Figure 3-2(g)]
(h) 低温RTAにより,コバルトは清浄(水素終端)シリコンの露出している箇所のみと選
択的に反応して(酸化膜上では反応しない)高抵抗のモノシリサイド(CoSi)を形成する。
つまり,モノシリサイドはゲート上とソース・ドレイン上にのみに選択的に形成される。
(このため,リソグラフィプロセスが不要である。) [Figure 3-2(h)]
(i) 薬液(アンモニア過水と硫酸過水)に浸漬することにより,酸化防止膜の窒化チタンと
未反応コバルトを各々順次選択的にエッチングし,ゲートとソース・ドレイン部のモノ
シリサイドを残して全てのコバルトを除去した後で高温のRTAを行い低抵抗層であ
るダイシリサイド(CoSi2)を形成する。
以上のプロセスによりゲート電極上とソース・ドレイン上にのみ選択的にコバルトシリサ
イドを形成するサリサイドプロセスが完了する。 (前世代のチタンシリサイドの場合も同様
に二段階の熱処理を行っており最初の低温熱処理で準安定な高抵抗相(C49)を作り,更なる
アニールで低抵抗相(C54)を作っていた。 このようにサリサイドプロセスを1度の熱処理で
行うと,アニール温度が低い場合は抵抗が十分下がらず(高抵抗相が低抵抗相に相転移しな
い),高い場合はシリコンの消費量が多くなるために接合リークの原因になる。このような
理由で,トリッキーな熱遍歴を余儀なくされている。)
サリサイドは,当初取り扱いが容易(シリコン表面が多少酸化していてもシリサイド化反
応が起きる)で抵抗の低いチタンシリサイドが用いられていた[13][14][15]が,デバイスの
微細化とともに細線部で抵抗の高い結晶相(C49)が相変移して低抵抗相にならないために現
在ではコバルトが用いられている。[16][17][18] また,ITRS[19]によると近い将来は,
85
ニッケルシリサイド[20][21]が本命視されており,各社でニッケルシリサイドを取り扱うノ
ウハウを蓄積しており,概ねテクノロジを完成している。
コバルトシリサイドを搭載したトランジスタ製造プロセスを構築する過程で,pMOSト
ランジスタのソース・ドレイン領域に形成したシリサイドのシート抵抗値がnMOSトラン
ジスタに形成したシリサイドのものに比べて非常に大きいことがわかった。(pMOS:20
Ω/cm2, nMOS:5 Ω/cm2) シリサイド抵抗がトランジスタ毎に異なっているという事実
は,デバイスを設計する際に非常に大きな問題となる。また低抵抗化のために導入したシリ
サイドの抵抗が十分下がりきらないということは材料の性能を生かしきれていないことに
なる。 断面TEM観察の結果,シート抵抗の違いは形成されるシリサイドの膜厚を反映し
ており,p-MOSのソース・ドレイン部に形成したシリサイドは,nMOSのものに比べて
膜厚が薄いことがわかった。 諸般の実験の結果,サリサイドプロセス(特にサイドウオール
絶縁膜形成プロセス)の有無が本現象に多大なる影響を与えていることが明らかになってい
る。(つまり,RIEプロセスの後のn型シリコン部は,p型シリコン部に比べてシリサイド
化反応が起こりにくい。) また,コバルトを成膜する前にシリコン基板表面ダメージ層を物
理的に除去する(physical etch)プロセスを加えることにより,シート抵抗(膜厚)の異常は
軽減されることがわかっている。 しかし,コバルト成膜前にシリコン基板表面ダメージ層
を除去するプロセスは接合深さの浅くなった昨今のデバイス作成プロセスにでは,極力避け
たいプロセスである。(なぜならシリサイドの反応量(膜厚)はシート抵抗が低く,接合リー
クの少ない最適値を実験的に求めて決められている。) シート抵抗と接合リークはトレード
オフになっているため,シリコン基板の表面層を除去するとシリサイド膜厚を薄くしなけれ
ばならず(イオン注入されているシリコン層の厚さが薄くなっているのにシリサイド膜厚を
同じにすると接合リーク電流が増加するため),シリサイド膜厚を薄くするとシート抵抗が
高くなるためである。 これまでの調査では,なぜサリサイド後のシリコン表面状態に基板
導電型依存性が有るのか,シリコン表面状態(基板導電型)の違いがシリサイド化反応にどの
ような影響を及ぼしているのかについては全く説明できていない。 コバルトがシリサイド
化する際のシリコン表面の清浄度に対する敏感性は,従来のデバイスに用いられてきたチタ
ンに比べはるかに高い。(酸化チタンの生成エンタルピー(944.7kJ/mol)[22]は酸化シリコ
ンの生成エンタルピー(-910.94kJ/mol)[22]に比べて小さいので,シリコン表面に薄い酸
化シリコンが残存してもそれを還元してシリサイドか反応が進行するためにシリサイド化
が阻害されづらい。 いっぽう,コバルト酸化物(Co3O4)の生成エンタルピー(-891kJ/mol)
86
[22]は酸化シリコンのものに比べて大きいためにシリコンを還元しづらく,シリサイド化が
阻害されてしまう。)
これまでの知見では,基板導電型そのもの(p型シリコンかn型シリコンの違い)がシリサ
イドプロセスに影響を与えているのか,基板導電型を変える注入イオン種(As+またはBF2+)や
そのプロセスの違いがRIEプロセスまたはシリサイド化プロセスにどのようなメカニズ
ムで影響を与えているのか等についてまったく説明できていない。 本報では,反応性イオ
ンエッチング後のシリコン表面状態がどのようになっているのか,そしてどの様なメカニズ
ムでシリサイド化反応に違いを引き起こしたのかについて表面分析結果と周知の現象から
プロセスモデルを構築した結果について言及する。
3-2
実験方法
本問題を解決するために,実デバイスをサリサイドプロセスで抜き取り評価するのが望ま
しいと考えられるが,そのような微細試料を直接評価する手段が無いため,実試料に準じた
プロセスを6インチウエハ全面に施し,表面状態の違いをXPSによって評価した。 実験で
用いた試料作成プロセスの詳細をTable 3-2にまとめて示す。また本実験に用いたXPSの
測定条件は,Table 3-3に示すとおりである。
3-3
結果と考察
アッシングおよび後洗浄を行い,反応性イオンエッチングによってシリコン表面に付着し
たフロロカーボン・ポリマを除去し,硼素または砒素イオンを注入した後に活性化アニール
(1000℃, 10sec.)を行い,希釈フッ化水素水溶液で自然酸化膜を除去した後,クリーンルー
ム内に数日置かれた後の硼素イオン注入試料(Sample1) と砒素イオン注入試料(Sample3)か
らのXPS概観スペクトルをFigure 3-3に示す。 これらよりいずれの試料からもシリコン,
酸素,炭素が検出されており,わずかにフッ素と考えられるピークが検出されていることが
わかる。 ここで,硼素注入試料(p型)からは硼素が検出されていないのに対して砒素注入
試料(n型)からは,砒素がわずかに検出されている。(実際には,硼素の主ピークである
1s(188 eV)は,Si2s(149 eV)のプラズモン損失スペクトル領域に現れるため有無の判断が容
易ではないが,砒素注入の試料のSi2sプラズモン損失スペクトルと比較(主ピークに対する
強度比を比較する)することで硼素はXPSの検出限界以下であると判断できた。) また
O1s/Si2pの強度比から砒素注入試料は,酸素量が多い(酸化が進行している?)事がわかる。
87
これより,pMOS上に形成したシリサイドの高抵抗化(シリサイド化反応抑制)の原因とし
てシリコン,酸素,炭素の化合物(XPSで検出できない水素も可能性がある)の組み合わ
せを考えればよいことがわかる。(硼素が検出されていないことから,表面に存在する硼素
量は他の元素に比べて極めて少なく,硼素化合物が直接シリサイド化反応を阻害していると
は考えにくい。)同様に砒素が加わることで低抵抗化(シリサイドか反応促進)しているので
あればシリコン,酸素,炭素,砒素の化合物の組み合わせを考えればよいことがわかる。
各試料のSi2p及びC1sを高エネルギー分解能条件で測定したスペクトルを規格化表示した
結果をFigure 3-4に各々示す。(ここでは,C1sスペクトルに観測されている炭化水素に起因
するピークのエネルギー値が284.6 eVになる様に帯電補正を行っている。) Si2pスペクトル
を比較する事により,シリコン酸化膜厚(oxideとmetalの強度比)が試料間で異なっているこ
とがわかる。 また,C1sスペクトルでは大気中で付着する炭化水素や炭酸に起因するピーク
のほかに炭化シリコン(Si-C)に起因するピークが観察されており,その存在量に違いが見ら
れている。 ここで,Si2p(基板)に起因するピーク位置とC1s(Si-C)に起因するピークの運動
エネルギーが基板導電型の違いで約1 eV異なっている(硼素注入試料のほうが高結合エネル
ギー側にある)理由を示すために,ノンドープとp型,n型の各シリコンのバンド構造を
Figure 3-5に示す。 XPSの測定は,原理的にフェルミ準位を基準(結合エネルギーゼロ)
にしている。 シリコンではFigure 3-5に示すようにその禁制帯(バンドギャプ)内にフェル
ミ準位があり,そのバンドギャップは約1.1 eVである。半導体材料では,不純物量をコント
ロールすることによって禁制帯内におけるフェルミ準位の位置を制御しており,フェルミ準
位は燐や砒素などのn型不純物が入ると禁制帯の上方(伝導帯側)に移動し,硼素などのp型
不純物が入ると禁制帯の下方(価電子帯側)に移動する。(シリコンのバンドギャップは約
1.1eVでp型とn型でフェルミ準位がおよそ1 eV異なっている。)
この結果,本来(真空準
位を基準とすると)同じエネルギー準位にあるSi2pが,フェルミ準位を基準とするXPS測
定を行ったために見かけ上異なるエネルギーに観測されたわけである。 つまり,n型基板
上に形成したシリサイドからのSi2pおよびC1sのピーク位置が,p型基板上に形成したシリ
サイドからのものに比べて約1 eV高結合エネルギー側にシフトして観察されるものである。
これらより,Si2p(基板成分とその他),C1s(炭化水素と炭化シリコン)に関してピーク分
離を行い,表面組成を求めるとともに2章の式[2.2.1]を用いて酸化シリコン膜厚を求めた結
果をTable 3-4に示す。これらより,以下の結果が読み取れる。
1) 硼素注入(p型)試料は砒素注入(n型)試料に比べて,炭化シリコンの量が多い。
88
2) 物理的表面剥離プロセス(physical etch)を経ることで,炭化シリコン量は減少する。
(表面剥離プロセスによって炭化シリコンは除去される。)
3) 硼素注入(p型)試料は,表面に形成される自然酸化膜厚が極めて薄い。(通常(経験的)
の自然酸化膜厚(0.5~0.6nmm)に比べても薄い。)
4) 硼素注入(p型)試料は,物理的表面剥離プロセスによって自然酸化膜が厚くなるが,
砒素注入(n型)試料は,物理的表面剥離プロセスによって自然酸化膜が薄くなる。
5) 硼素注入(p型)試料は,物理的表面剥離プロセスによって自然酸化膜起因のピークが
高結合エネルギー側にシフトするが,砒素注入(n型)試料は,物理的表面剥離プロセスに
よって自然酸化膜起因のピーク位置はシフトしない。
6) 物理的剥離プロセスによりSi2pに見られた基板導電型依存性が小さくなる。(酸化シリ
コン膜厚とそのピーク位置の基板導電型依存性が小さくなる。)
ホウ素イオン注入試料(Sample1)及び砒素注入試料(Sample3)と同じプロセス後にサリサ
イドプロセスを行った後で実際にシリサイドを形成し,裏面よりSIMS分析を行った結果
をFigure 3-6に示す。
これらより,以下の結果が読み取れる。
7) 砒素注入(n型)試料は,シリサイド膜厚が厚い。
8) 砒素注入(n型)試料は,シリサイド膜中に多くの酸素が含まれている。
9) 硼素注入(p型)試料は,シリサイドと基板界面部の炭素量が多い。
基板導電型(注入イオン種)が自然酸化膜に与える影響を評価した例としてM. Moritaらに
よって報告されている結果より抜粋したデータ[23]をFigure 3-7に示す。 ここには,薄い
フッ化水素水溶液中で自然酸化膜を除去して水素終端されたシリコンウエハが大気中で酸
化していく過程を示しており,低抵抗(高濃度)のn型基板は,p型基板に比べて酸化されや
すいことと,水素終端シリコンの酸化がステップ状に進行していくことが示されている。 こ
の様に,通常n型基板のほうが早く自然酸化膜形が成される(同じ経過時間だとn型の自然
酸化膜厚が厚い)事が広く知られており, n型基板中に豊富に含まれる電子の存在が基板の
酸素に対する活性度を高めていると考えられている。
またFigure 3-8に示す様にシリコン基板をフロロカーボン系のプラズマ(側壁酸化膜を基
板と高選択比のもとに除去するRIEプロセス)に曝すと基板表面にフロロカーボン・ポリ
マが形成される。 この時,炭素の一部はエネルギーを持って(直接または表面に堆積した後
でノックオンにより)基板シリコン中に進入するためにシリコンと相互反応し,炭化シリコ
ンが形成されることが一般的に知られている。[24] この時の反応は,以下の式で示される。
89
SiO2の場合
:
SiO2 + C x Fy → SiF4 ↑ +CO z ↑
Si基板の場合
:
Si + C x Fy → SiF4 ↑ +C ↓
ここで形成される炭化シリコンは,シリコンが熱酸化することさえ抑制するほど強固な結合
をもっていることが知られている。[24]
硼素注入試料(p型)が物理的表面剥離プロセスを加えることによって自然酸化膜厚が厚
くなる理由は,炭化シリコン(SiC)の存在が基板の酸化を抑制していたがドライエッチング
プロセスによって炭化シリコンの多くが除去されたために,酸素の進入を抑制するも酸化が
進行したものと考えられる。いっぽう,砒素注入試料(n型)が物理的表面剥離プロセスを行
うことによって自然酸化膜が薄くなる理由として,炭化シリコン量は少ないがRIEダメー
ジ層が残存しているために酸素がシリコン内に入っていきやすく,ダメージの無いものより
酸化しやすい状態(一般的に,シリコンの酸化初期は反応律速で酸化が進行するが,数層の
酸化シリコン層が形成された後は,酸化シリコン中を拡散する酸素の拡散律速になる。[25])
であったものが物理的エッチングプロセスにより表面ダメージ層が除去された結果,通常の
シリコン基板並の自然酸化膜が形成されるようになったものと考えられる。
以上の機知事項と,今回のXPS及びSIMS分析結果を元に硼素イオン注入基板でのシ
リサイド化を阻害する要因についてモデルを構築した結果Figure 3-9に示すとともに,以下
に簡単に解説する。結論から言えば硼素注入(p型)試料は,表面に炭化シリコンが多く存在
するためにシリサイド化反応が阻害されたため,シリコン表面に炭化シリコンが少ないn型
基板の方が厚いシリサイドを形成することができたものと思われる。
① LDDに相当する低ドーズのイオン注入を行い2フッ化硼素イオン(BF2+)または砒素
イオン(As+)を基板に注入する。この段階では,低いドーズ量であるために試料間の基板
導電型差はほとんど無いと思われる。
② CVD法を用いてゲート側壁相当の絶縁膜(60 nm)堆積し,フロロカーボン系のガスを
主成分とした反応性イオンエッチング(オーバーエッチング100 nm相当)によりこの絶縁
膜を除去し,酸素プラズマによるアッシングと後処理(硫酸過水とフッ化水素水)を行う
ことにより,露出したシリコン表面に存在するフロロカーボン・ポリマを除去する。 反
応性イオンエッチング時に一部のガス成分は,基板に打ち込まれ,特に炭素は基板のシ
リコンと反応して安定な炭化シリコン(SiC)を形成する。 ここで,ダメージ層は,表面
から炭素イオン等が入ることにより形成されるために表面側ほど炭素量及びダメージ
量が多いと思われる。
90
③ 物理的表面剥離するプロセス(酸化シリコン換算で15nm)を行うことによりダメージ層
の一部が除去される。(適用しなければダメージ層が残存することになる。)
④ ソース・ドレイン領域のイオン注入に相当する硼素イオン(B+)または砒素イオン(As+)
を注入した後にそれらの不純物を活性化させる熱処理(1000℃,10sec.)を行うと,n型
である砒素注入試料はp型である硼素注入試料よりも厚い酸化膜が形成される。 また,
比較的活性な砒素注入試料では物理的表面剥離プロセスを経たものはダメージ層が除
去されるため酸化されにくくなるいっぽうで,比較的不活性で酸化されにくい硼素注入
試料では,炭化シリコンの存在が酸化を抑制するために物理的表面剥離プロセスを行わ
ない場合,極めて薄い酸化膜しか形成されない。ここでの酸化は,いずれもダメージ層
を含んで(取り込みながら)進行するために,酸化されやすい砒素注入試料では多くのダ
メージ層をその自然酸化膜中に取り込む。(厚い自然酸化膜が形成されるためにより多
くのダメージ層をその中に取り込む。)
⑤ フッ化水素水溶液を用いて表面に存在する自然酸化膜除去を行うと,より多く酸化し
たものほどダメージ層を多く含んで酸化が進行するため,シリコン表面に残存する炭化
シリコンが基板表面から除去されることになる。 シリコン表面近傍は,水素終端シリコン
と,炭素や酸素と結合したシリコンで構成されることになる。
⑥ シリサイド化するためのコバルトと熱処理時にコバルトの酸化を防ぐためのカバー膜とし
ての窒化チタンをスパッタリングによって堆積する。
⑦ 低温の熱処理(RTA)を行うことにより,コバルトは水素終端シリコンおよびシリコンと結
合したシリコンのみと反応してモノシリサイド(CoSi)を形成するが,炭素または酸素と結合
したシリコンとは反応できない。 つまり,炭素または酸素と結合したシリコンに接するコ
バルトは未反応のコバルトとして残存する。(つまりホウ素注入試料(p型)では,表面近傍に
炭素が炭化シリコンとして多く存在しているためシリサイド化反応が進行しづらい。)
⑧ アンモニア過水処理及び硫酸過水処理によりモノシリサイド(CoSi)を選択的に残して,酸
化防止膜の窒化シリコンと未反応コバルトを順次選択的に溶解する。
⑨ 高温の熱処理(RTA)を行うことにより,モノシリサイド(CoSi)と基板の更なる反応が進み,
低抵抗であるダイシリサイド(CoSi2)を形成する。この時⑦でモノシリサイドとなったコバ
ルト以外は⑧のプロセスで除去されているためにシリサイド化膜厚は⑦の反応量で決定さ
れる。
上記検討から数年の月日が流れているが,今回構築したプロセスモデルに矛盾する現象は
91
現れていない。
シリサイド化反応の基板導電型依存を減らすために表面のダメージ層を除去することと
最初のシリサイドアニールの高温化により反応の進行を高めることが考えられるが,デバイ
スの微細化とともにTable1-1に示したようにソース・ドレイン部の拡散層の深さが浅くな
り(すでに30nm程度),シリサイド化反応に費やされるべきシリコンでさえ減らす方向にある
ためともに将来有望な方法とは思えない。(後者を選択すると局所的な反応の進行が起きる
可能性もある。) また,反応性イオンエッチング条件を工夫(ガス種の変更や,バイアスエ
ネルギーを下げるなど)して,根本的に基板に注入される炭素量を減らすことが重要である
ことは自明であるが,現在可能性のあるフロロカーボン系のガス系を用いる限り,炭素量を
減らすような反応性イオンエッチング条件では,基板のシリコンと側壁絶縁膜である酸化シ
リコンの選択比を十分に得ることができないために側壁絶縁膜加工時に基板が彫られる一
方で,基板に印加するバイアスを下げると異方性が低下するため側壁絶縁膜が薄くなってし
まう。 また炭素を用いないガス系を使用する試みが考えられるが,容易に高選択比が得ら
れる適当なガス系が見当たらない。(フロロカーボン系のガスは,基板シリコンの露出ととも
に表面に一様にフロロカーボン・ポリマが形成され保護膜として機能するために自己整合的
に基板のエッチングが止まるために高選択比を得ることができ,非常に魅力的である。) 本
問題を解決するためには,シリコン表面に保護膜を設け,シリサイド化反応の前に,除去す
ることが考えられるが現時点で適当な材料は見つかっていない。
本研究では,サリサイド化反応に関する影響に関して言及したが最近は,薄い側壁絶縁膜
を用いてエクステンション部の不純物分布(横方向)をコントロールしようという試みもあ
り,反応性イオンエッチングで導入されるダメージ層は,非常に薄くなったエクステンショ
ン部の抵抗上昇の原因となりはじめている様である。[26](つまり,注入されたイオンが炭
化シリコンの結合を切ることができないために,活性化することができず,実質的に不純物
不足になるため高抵抗になるため。)
3-4
まとめ
サリサイドプロセスでコバルトシリサイドのシート抵抗(膜厚)に基板導電型依存性が観
察されたため,主にXPSを用いてその原因究明を試みたところ,コバルト成膜前のシリコ
ン表面に存在しているSi-C結合の量と対応が取れた。(p型基板表面にはn型基板より多く
のSi-Cが存在。) この結果を元にプロセスモデルを構築した。 つまり,Si-C結合が多い条
92
件ではコバルトシリサイド膜厚が薄いことが判ったため,安定で強固なSi-C結合がシリサイ
ド化反応を阻害していると判断できる。 基板導電系型の違いは,酸化のしやすさであり,
一般的にn型基板のほうが厚い酸化膜が形成されることから,n型基板ではより厚い酸化膜
が形成されその酸化時にSi-C結合を取り込んで酸化が進行するためにd-HF処理で酸化膜と
ともにSi-Cがウオッシュアウトされたためにシリサイド化が進んだものと思われる。
93
Table 3-1 各種シリサイドの諸物性[2]
融点
抵抗率
シート抵抗
ショットキー障壁 耐熱性 単位金属膜厚当
耐薬品性
[℃] たりのSi消費膜厚
[℃] [μΩ・cm] [Ω/□] at 20nm
[eV] at N+
HF不可溶,HF/HNO3可溶
タングステンシリサイド(WSi2) 2167 50~70
40~55
0.65
>1000
2.53
チタンシリサイド(TiSi2)
1537 15~20
12~18
0.61
800~850
2.27
HF可溶
コバルトシリサイド(CoSi2)
1326
~25
18~25
0.65
700~800
3.64
HF可溶(小)
ニッケルシリサイド(NiSi2)
993 ~45(NiSi2)
~18
0.67
~600
1.83(NiSi)
HF可溶(小)
シリサイド
silicide
[p-MOS]
p
p
STI
[n-MOS]
n
n
STI
STI
N well
P-type substrate.
Figure 3-1 1対のp-MOSトランジスタとn-MOSトランジスタを基本単位としたCMOSの基本構成 。
(a)
(d)
(g)
Poly-Si
SiO2
[STI]
(b)
Co
SiO2
[STI]
(e)
(h)
CoSi
(c)
(f)
(i)
CoSi2
Figure 3-2 SALICIDEプロセスフロー:SALICIDは金属とシリコンの反応の選択性を利用している。
94
Table 3-2 評価試料の作成プロセスと試料
(a) Sample preparation process
1 p-Si(100) for substrate
2 Chemical cleanning (APM+HPM)
3 Lightly dose ion implantation
p : BF2+ 3kV, 3E14atoms/cm2, n : As+ 5kV, 5E14atoms/cm2
4 Chemical cleanning (APM+HPM)
5 CVD dielectric film deposition[60nm] for side wall
6 Reactive Ion Etching using CFx related gas for side wall removal (over 10nm)
7 Damaged layer removal using physical procedure
0 nm or 15 nm
8 Ion implantation
p : B+ 5kV, 2E15atoms/cm2, n : As+ 40kV, 2E15atoms/cm2
9 Chemical cleanning (APM+HPM)
10 Rapid Thermal Annealing (1000C, 10sec.) for activation
11 Native oxide removal using diluted HF solution
(b) Summary of the key process
Sample 1
Sample 2
Sample 3
Sample 4
Removing of
damage layer
0nm
15nm
0nm
15nm
Ion imprantation
B+
B+
As+
As+
Table 3-3 評価に用いたXPS測定条件
XPS
Probe
Take off Angle
Energy resolution
measuremental step
Physical Electronics Inc. Model 5400MC
Mg-kα (400W : 15kV, 26.6mA)
45 deg.
0.85eV (FWHM of Ag4d5/2)
0.05 eV/step
95
Intensity(A. U.)
Intensity [A. U.]
1
(a) B+ I.I.
O1s
0.8
0.6
P type(B I.I.)
O KLL
C KLL
Si2p
Si2s
0.4
C1s
F1s
0.2
O2s
0
1000
1
800
600
400
Binding Energy [eV]
(b) As+ I.I.
200
0
N type(As I.I.)
O1s
Intensity(A. U.)
Intensity
[A. U.]
0.8
O KLL
0.6
C KLL
0.4
C1s
F1s
Si2p
Si2s
0.2
0
1000
O2s
As3d
800
600
400
Binding Energy [eV]
200
0
Figure 3-3 反応性イオンエッチングでSiO2を除去した後に,BまたはAsイオンを注入し活性化アニール
後のシリコン表面の概観スペクトル。
96
Intensity [A. U.]
Intensity [A. U.]
physical etch+B+ I.I.
B+ I.I.
(a) Si2p
Binding energy [eV]
etch+B+
I.I.
Intensity [A. U.]
Intensity [A. U.]
Binding energy [eV]
physical
B+ I.I.
(b) C1s
physical etch+As+ I.I.
As+ I.I.
(c) Si2p
Binding energy [eV]
physical etch+As+ I.I.
As+ I.I.
(d) C1s
Binding energy [eV]
Figure 3-4 各試料の高エネルギー分解能XPSスペクトル。(a) B+イオン注入試料のSi2pスペクトル,(b)
B+ イオン注入試料のC1sスペクトル,(c) As+イオン注入試料のSi2pスペクトル,(d) As+注入試料の
C1sスペクトル。
Conduction Band
EF
Eg=1.1eV
Valence Band
ΔEbin
ΔEbin
ΔEbin
E2p
(a) Silicon (non doped) (b) Silicon (N-Type)
(c) Silicon (P-Type)
EF : Fermi Energy
E2p: Energy Level of Si2p
Eg : Band Gap
Ebin :Si2p Binding Energy
Figure 3-5 Si2pの結合エネルギー値に注入イオン種依存性がある理由を示すための各種シリコンのエ
ネルギーバンド図 (a) ノンドープシリコン,(b) N型シリコン,(c) P型シリコン。
97
Table 3-4 XPS分析結果より求めた表面組成および酸化膜厚。
Atomic concentration (%)
C1s
O1s Si2p
SiC CHx
Sample
B+ I. I.
Sample 1
5.3
9.7 30.4 54.7
+
Sample 2 B I. I. & physical etch 3.0
9.7 36.0 51.3
+
As I. I.
Sample 3
3.6 11.2 39.5 45.8
+
Sample 4 As I. I. & physical etch 2.0
9.4 37.0 51.6
(a) B+ I.I.
(b) As+ I.I.
Sisub.
B concentration [atoms/cm3]
1020
105
B
C
1019
O
104
1018
1017
Co+Si
N
F
0
0.02
0.04
0.06
Depth [um]
0.08
Sisub.
106
Si
1020
105
O
C
1019
As
104
1018
1017
103
0.1
CoSix
1021
N
Co+Si
F
0
0.02
0.04
0.06
Depth [um]
0.08
103
0.1
Figure 3-6 基板導電型のことなる基板の上に形成したシリサイドと基板の界面をバックサイドSIMSに
よって分析した結果 (a) B+注入試料,(b) As+注入試料。
98
Secondary ion intensity [cts/sec]
Si
Secondary ion intensity [cts/sec]
106
As concentration [atoms/cm3]
CoSix
1021
Silicon oxide
thickness
(nm)
0.35
0.53
0.69
0.55
Thickness [Angstrom]
12
10
8
6
4
n+-Si(100)1020cm3
p+-Si(100)1020cm3
n-Si(100)1015cm3
2
0 0
10
101
102 103 104
Time [sec.]
105
Figure 3-7 M.Moritaらによって報告[22]されているシリコン表面の自然酸化膜形成速度の基板導電
型依存性。
Figure 3-8 CHF3ガスプラズマによる反応性イオンエッチングでSiO2を除去した後にシリコン表面に形
成されるフロロカーボンポリマをXPSで分析した結果。
99
(1) Lightly dose ion implantation (B+ or As+)
Native oxide layer
(2) Side wall deposition and RIE (side wall dielectric removal)
Damaged layer (Including Si-C Bond)
(3) Damaged layer removal using physical etching process (0nm or15nm)
non etch
physical etch
(4) Ion implantation for source/drain (B+ or As+) and activation anneal (1000℃, 10sec.)
Oxide layer
non etch
B+
physical etch
B+
non etch
As+
physical etch+
As
As+
As+
(5) Surface oxide removal
B+
B+
(6) Metal(Co) and cover film (TiN) deposition
TiN
Co
B+
As+
B+
As+
(7) 1st silicidation
TiN
Co
CoSi
B+
As+
B+
As+
(8) Removal cover metal and unreacted cobalt
CoSi
B+
As+
B+
As+
(9) 2nd silicidation
CoSi2
B+
As+
B+
As+
Figure 3-9 コバルトシリサイドのシート抵抗上昇(シリサイド化反応抑制)原因を考察するために考えら
れたプロセスモデル。
100
参考文献
[1] Makoto Nakamura, Atsuo Fushida and Tsutomu Iikawa : J. Korea Vacuum Society,
9 (2000) 125 ; 中村誠 : J. Surf. Anal., 8 (2001) 9.
[2] http://www.geocities.jp/gibsccn/material12.html.
[3] F. Faggin et al. : Tech. Dig. Inter. Electron Devices Meet., (1968) 22.
[4] L. L. Vadasz et al. : IEEE Spectrum, 6 (1969) 28.
[5] 須黒恭一,國島巌 : 表面科学,16 (1995) 2.
[6] たとえば,中村友二,川村和郎,池田和人 : 半導体研究XXXXⅢ, 235.
[7] たとえば,桑田孝明 : 第9回プロセス評価分析技術セミナー予稿集(日製産業), 1
(1999)
[8] たとえば,難波進,岸野正剛 :半導体デバイスの基礎(オーム社)
[9] T. Takahagi, I Nagai, A. Ishitani and H. Kuroda : J. Appl. Phys., 64 (1998)
3516.
[10] H. Ubara, T. Imura and A. Hiraki : Solid State Commun., 50 (1984) 673.
[11] M. Grundner and H. Jacob : Appl. Phys., A39 (1986) 73.
[12] E. Yablonovitch, D. L. Allara, C. C. Chang, T. Gmitter, and T. B. Bright : Phys.
Rev. Lett., 57 (1986) 249.
[13] C. Y. Ting et al. : ECS Proc. 1st Int. Symp. VLSI Science and Technology, (1982)
PV82-7,224.
[14] C. K. Lau et al. : Ext. Abs. Electrochemical Society Meet., (1983) PV83-1,
569.
[15] S. S. Iyer et al. : J. Electrochem. Soc., 132 (1985) 2240.
[16] C. J. Luccse : ECS Proc. 1st Int. Symp. VLSI Science and Technology, (1982)
PV82-7,232
[17] L. Van den Hove et al. : IEEE Trans. Electron Devices, ED-34 (1987) 554.
[18] A. E. Morgan et al. : J. Electrochem. Soc., 134 (1987) 925.
[19] http://strj-jeita.elisasp.net/strj/ITRS04-JP/Roadmap-040407.htm.
[20] M. Morimoto et al. : IEEE Trans. Electron Device, ED-42 (1995) 915.
[21] T. Ohgro et al. : Ext. Abstr. Conf. Solid State Devices and Materials ,(1993)
192.
101
[22] 日本化学会編 改訂3版 化学便覧 基礎編Ⅱ(1984) 丸善
[23] M. Morita, T. Ohmi : Ultra Clean Technology, 1 (1989) 22.
[24] Kazuo Hashimi, Daisuke Matsunaga, Masao Kanazawa, Masayuki Tomoyasu, Akira
Koshiishi and Masahiro Ogasawara : Jpn. J. Appl. Phys., 35(1996) 2494.
[25] A. S. Grove : Physics and Technology of Semiconductor Devices, (1967) John
Wiley & Sons
[26] 小倉輝,岡部堅一,中石雅文,宮島基守:第65回応用物理学会学術講演会講演予稿
集(2004) 857 ; 第52回応用物理学関係連合講演予稿集(2005) 1088 ; 第66回応用物
理学会学術講演会講演予稿集(2005) 840 ; 小倉輝,岡部堅一,中石雅文 : 第53回応
用物理学関係連合講演会講演予稿集(2006) 181.
102
第4章
4-1
高誘電率ゲート絶縁膜の評価[1]
はじめに
トランジスタのスイッチング速度を向上するためにゲート下のチャンネル部分(電子や
正孔が移動する領域)の長さ(L)の縮小が図られ,ゲート電圧を下げてもチャンネル部分に
十分なキャリアを発生させるためにゲート絶縁膜の薄膜化が進められてきた。[2] この結
果,Figure 1-1-3 に示す様に高性能(HP)トランジスタのゲート絶縁膜は既に 1nm に達して
おり,低消費電力(LSTP や LOP)のトランジスタにおいてさえも 2010 年には 1nm になると考
えられている。 1nm のゲート絶縁膜は,酸化膜厚 5 層に相当している。[3] これまでのゲ
ート絶縁膜は,シリコン系FETの黎明期から一貫して基板を熱酸化した酸化シリコンを
主成分とする材料で構成されてきた(必要に応じて窒素を添加してきた)が,直接トンネル
電流という物理限界を前にして,より誘電率の高い材料を選択しなければならない時が近
づいて来ている。[2] Figure 4-1 に微細な電界効果トランジスタで問題となるリーク電流
に関して簡単に整理して示す。 トランジスタがオンの時は,(a)に示す様にゲートとソー
スの間,ゲートとチャンネルの間,ソース・ドレインと基板の間にリーク電流が流れるた
め,動作時低消費電力(LOP)デバイスを実現する際に解決しなければならない課題とな
っている。 またトランジスタがオフの時には,(b)に示すようにゲートとソース・ドレイン
間,ソース・ドレインと基板間,ソースとドレイン間にリーク電流流れるために,待機時
低消費電力(LSTP)デバイスを実現する際に解決しなければならない課題になっている。
これらの直接トンネル電流が素子の消費電力や駆動力に大きな影響を与え始めてきたため,
近年高誘電体材料をゲート絶縁膜に導入しようという動きが活発になってきている。
[4][5][6][7][8][9][10] 誘電率が高い材料をゲート絶縁膜に採用する事で同じ絶縁容量
でも物理膜厚の厚い膜(Tox)を採用する事が可能になるため,指数関数的に増大するトンネ
ル電流(Igleak)抑制に効果的であると考えられている。(ゲート容量[C]は,誘電率[ε]に比
例し,膜厚(Tox)に反比例『C∝ε/Tox』する。 また,ゲートリーク電流と物理膜厚の間に
は『Igleak∝1/exp[Tox] 』の関係がある。) 逆に言えば,高誘電率の材料をゲート絶縁膜に
適用する目的は,ゲートと基板の間に流れる電流(Igleak)を抑制するためだけである。 実際,
高誘電体ゲート絶縁膜の開発が間に合わないと考えられている 65nm ノードのトランジス
タのゲート絶縁膜厚は,前世代の 90nm ノードのものとほぼ同じ膜厚が用いられており[11],
高誘電体のゲート絶縁膜の開発が急務になっている。
ゲート絶縁膜に高誘電体膜のような新材料を採用して電界効果トランジスタを設計する
- 103 -
ためには,
1)基板やゲート電極との界面が安定した膜である。(ソース・ドレインの活性化の熱処
理[1000℃]で基板やゲートのシリコンを酸化しない事,相互反応しない事,結晶化や
相分離しない事など等が要求される。)
2)チャンネルを走るキャリア(電子や正孔)の移動度が劣化しない。(基板との相性を含
む。)
3)基板やゲート電極に対して十分なエネルギー障壁(電子,正孔に対して)を有する。
4)閾値電圧の制御性が良く,ヒステリシスがない。
5)既存プロセスとの整合性が良い。(加工が容易で,プロセスラインを汚染しない。)
等の条件をクリアしなければならない。
K. J. Hubbard らは,1)の条件を満たす材料として,その酸化物が 1000℃(基板に注入さ
れた不純物が活性化するために必要な熱処理に相当)で安定に存在しうるもので,界面に酸
化シリコンを形成しない(シリコンより酸化物の標準生成エンタルピーが小さい)材料を検
討し Figure 4-2 を作成している。[12] この中で高誘電率特性を示す元素のみが高誘電体
ゲート絶縁膜材料の候補になりうる(産業利用を考えると比較的安価で容易に入手できる
材料であることも重要)事を鑑みると,アルミニウム(Al),ジルコニウム(Zr),ハフニウム
(Hf),イットリウム(Y),ランタン(La),ガドミウム(Gd),スカンジウム(Sc),バリウム(Ba)
等が候補として考えることができ,ITRS[2]にもそれらの材料が候補とし明記されてい
る。(第 1 章の Table 1-1-5 を参照。)
2)の問題点は高誘電体膜中の電荷によるキャリア
の散乱を抑えることで実現できると考えられている。 3)の問題点は,FETの基本動作に
直接影響を与えるものであり,あらかじめゲート絶縁膜と基板,ゲート電極間の相性を実
測し評価しておかなければならない。 シリコン(基板または結晶シリコンゲート電極)と強
誘電体絶縁膜の間のバンドダイアグラムの模式図を Figure 4-3 に示す。 ここで,pMO
Sトランジスタではシリコンと絶縁膜の価電子帯間のエネルギー障壁(⊿E for hole)が
十分でない時は,シリコンから絶縁膜にホールが注入され,nMOSトランジスタではシ
リコンと絶縁膜の伝導帯間のエネルギー障壁(⊿E for electron)が十分でない時は,シリ
コンから絶縁膜に電子が注入される事になりゲートと基板(ソース・ドレイン間を含む)間
を電流が流れるためゲート絶縁膜として使用することができない。 これらは,基板材料(シ
リコン,歪シリコン,シリコン-ゲルマニウムなど),高誘電体絶縁膜,ゲート電極材料(シ
リコン,シリコン-ゲルマニウム,メタルゲート等)の組み合わせ(組み合わせの例は,第1
- 104 -
章の Table 1-1-5 を参照)に応じて評価が必要である。 John Robertson がまとめた主な高
誘 電 体 薄 膜と シ リ コ ンの 間 の バ ンド ア ラ イ メン ト を Figure 4-4[13]に 示 す とと も に
Miyazaki らが実験で求めたバンドギャップ値[14]を加筆して示す。 これらより,一般的
に誘電率の高い材料ではバンドギャップが小さい傾向にある事がわかるとともに,MOS
トランジスタの黎明期から使い続けられて来た酸化シリコンは,バンドギャップが大きく,
電子に対してもホールに対しても十分なエネルギー障壁を有しており,理想的な材料であ
ったことが改めて判る。(実際,シリコンとの界面が極めて安定で急峻である事は言及する
までも無い。) 一方,例えばタンタル酸化膜は,電子に対する障壁が非常に小さいため,
ゲートとして使うのは困難であると判断できる。(5 章で述べる様にタンタル酸化膜はMI
M構造のキャパシタ誘電体膜としては使われている。)
これまで,ゲート絶縁膜として様々な高誘電率材料が検討されてきた中で,今日ではハ
フニウム系の化合物(HfO2 やその SiON や Al2O3 との固溶体)が有望視され,特に既存プロセ
スとの整合性(相性)と電気特性からハフニウムシリケート(HfSiON)が,次世代デバイス(設
計ルールが 45nm 以降)のゲート絶縁膜として最も現実的な材料として本命視されており
[15][16][17],実際に微細なLSIを作成して信頼性さえも評価報告され始める段階になって
来ている[18][19]。(シリケートに窒素を混入させることで,結晶化温度が高くなるとともにホ
ウ素などの不純物の突き抜け抑制効果があると言われている。[20] しかし,ハフニウム系の高
誘電体膜と多結晶シリコンゲート電極を組み合わせて使用する際には,フェルミレベル・ピニ
ング効果によって閾値電圧が上昇してしまうことが広く知られており実用化にあたって大きな
障害になっている。[21] フェルミレベルのピニング効果を抑制するために,膜組成(含有率や
組成分布)の最適化をはかる試み[22][23]や高誘電体膜と多結晶シリコン電極の間に酸窒化膜
(SiON)を挟む試み[24],トランジスタのチャンネルプロファイルの調整で閾値電圧を下げる試
み[25]などが行われている。 またフェルミレベル・ピニングによる閾値電圧の上昇を逆利用し
てトランジスタの性能を向上させる試みさえもなされている。[26] 一般的に,高誘電体膜は高
い閾値電圧で動作するLSTP(低消費電力)向けのデバイスのゲート絶縁膜として採用され始
めると見られている。 また,低い閾値電圧で動作させるLOPやHPではゲート電極を多結晶
シリコンから金属材料(メタルゲート)に変更して仕事関数を制御する動きが出ている。
[27][28][29][30]
高誘電体ゲート絶縁膜の作成法として多くの報告がなされているのは大面積化,スループッ
ト,及び既存プロセスとの適合性から Atomic Layer Deposition(ALD)法と Chemical Vapor
- 105 -
Deposition(CVD)法であり,その後の熱処理プロセスを組み合わせた方法とセットにして用
いられている。[31] CVD法は,ALD法に比べて成膜温度が高く反応ガスに酸素を用いるた
めに,高誘電体ゲート絶縁膜の成膜初期または高誘電体ゲート絶縁膜を通して基板が酸化され
る可能性がある。(基板が酸化されると高誘電体膜と誘電率の低い酸化シリコン膜が直列につ
ながることになり高誘電率の膜を使うメリットが薄れる。)
4-2
高誘電体ゲート絶縁膜の評価
我々は近未来に商用デバイスへの搭載が最も現実的と考えられているハフニウムシリケ
ート(HfSiON)の亜種として,ALD法で成膜したハフニウム酸化膜とLPCVD法で成膜
した窒化シリコン膜を積層したナノラミネート膜を考え,電子分光分析法を中心とした評
価を行った。 具体的には,ALDとLPCVDで各々成膜したハフニウム酸化膜と窒化シ
リコン膜からなるナノラミネートの構造(組成)の熱処理に依存する膜質,組成の変化及び
バンドアライメントの評価を行った。 ハフニウムシリケートをナノラミネート構造にする
ことでALD成膜プロセス中に膜内に取り込まれる不純物(ハロゲンや水分)はLPCVD
時の高温下に曝される事になり結果的に減少させることができると期待される。 これまで
の 評 価 [32] で , 窒 化 シ リ コ ン と ハ フ ニ ウ ム 酸 化 膜 を 積 層 し た ナ ノ ラ ミ ネ ー ト 膜
(HfO2[1nm]/SiN[0.3nm]/HfO2[1nm]/SiN[0.3nm]/HfO2[1nm]/IFL/Si-sub.)は,単体のハフニウム酸化膜に比べて極
めて有利な特性を示しており,その物性に期待するところが大きい。
例えば,Figure 4-5 に示すようにハフニウム酸化膜は活性化のための熱処理(1050℃の
スパイクアニール)によって表面あれが生じてしまう(結晶化に起因)が,ラミネート構造に
する事によって表面荒れを抑制できていることがわかる。 ここで(a)は,ハフニウム酸化
膜(HfO2[3nm]/IFL/Si-sub.)をALD法によって堆積したもの,(b)は(a)に対して低温アニールを行
った後に 1050℃の活性化アニールを施したもの,(c)は,(a)に対して 1050℃の活性化アニ
ールを施したもの,
(d)はナノラミネート膜に対して 1050℃の活性化アニールを施した
もの表面モフォロジーをAFMで観察評価した結果を各々示している。 また Figure 4-6
は,同一試料をインプレーンX線回折で評価したものであり,ハフニウム酸化膜は熱処理
で結晶化しているにもかかわらず,ラミネート構造を採用することによって結晶化が抑制
されていることがわかる。一般的に極めて薄いゲート絶縁膜が結晶化すると,結晶粒界が
電子の通り道になるため,リーク電流が流れてしまうためにゲート絶縁膜としての適正が
大きく低下する。(ゲート絶縁膜は,非晶質または単結晶であることが望ましい。) 実際
- 106 -
Figure 4-7 に示す電流-電圧(I-V)特性によるとハフニウム酸化膜では,ほとんど電圧がか
かっていないにもかかわらずに基板と電極の間にリーク電流が流れている一方で,ナノラ
ミネート構造を採用する事で大幅なリーク電流の減少が実現できている。 本法では,p 型
基板(100)上にゲート絶縁膜を成膜した後に白金電極(φ200um)を蒸着し,電流-電圧特性を
計測したものである。 また,ナノラミネート構造は,ALDプロセスをベースにしている
ためにはハフニウム酸化膜と窒化シリコン膜の混合比をデジタル的に制御できるという特
長を有している。
4-2-1 実験方法
シリコン基板(100)にゲート絶縁膜成長前処理を行い,薄いフッ化水素水溶液に浸漬する
ことでケミカルオキサイドの無い水素終端[33][34][35][36]表面を作成した後に,薄い酸
窒化膜を成膜したものを共通基板にし,その上に各種構造のナノラミネート膜を成膜した。
各試料の製造プロセスを Table 4-1 に示すとともに試料構造模式図を Figure 4-8 に示す。
ハフニウム酸化膜のALDは,反応槽に HfCl4 と H2O を交互に導入し各々が飽和吸着するた
びに導入ガスを切り替えることによって自己整合的に成膜されるものである。 また窒化シ
リコンは,LPCVD法を用いガス導入時間と加熱時間を制御することによって膜厚を制
御している。 ここでは,Sample 1 から Sample 4 に向かって,徐々にハフニウム酸化物に
対する窒化シリコンの仕込み量が多くなっている。
また本実験に用いた各種評価項目と評価手順をまとめて Table 4-2 に示す。本評価では,
ナノラミネート膜の熱処理に依存した化学状態の変化をXPSで評価し,薄膜内部での各
成分の組成分布をARXPS-MEMによって求めた。 また,高誘電体膜のバンドギャッ
プをEELSスペクトルから見積もり,XPSの価電子帯スペクトルからバンドオフセッ
トを見積もる事によって,基板とナノラミネート膜間のバンドアライメントを完成させた。
実験に先立って,窒化シリコンの上と酸化シリコンの上,およびハフニウム酸化物の上
に同様にALDハフニウム酸化膜が成膜されていること(ALDプロセスの下地依存性が
無いこと)を確認するためにALDサイクルと蛍光Ⅹ線(Hf-Lα)強度の関係を調査した。
(Figure 4-9)。これより両者にはきれいな相関関係(ALDサイクルと蛍光X線強度に正の
相関関係)があり,下地に依存することなくALDによってハフニウム酸化膜の成膜が行わ
れていることが確認された。 また,いずれの試料も 800℃の熱処理によって膜厚(Hf 量)
の変化は観測されない事が確認された。
- 107 -
本実験における各種評価方法の測定条件をまとめて Table4-3~7 に示す。 XPS測定は
いずれも Physical Electronics 社の 5400MC を用い,高いエネルギー分解能が必要と思わ
れるバンドオフセットの測定に際してはモノクロメータ(Al-kα)を用いた高エネルギー分
解能条件下で測定を行った。 またEELSスペクトルの測定は,VG Scientific 社の
ESCALAB MrkⅡを用いた。
4-2-2 結果と考察
[化学状態]
各試料のXPS概観スペクトルを Figure 4-10 に示すとともに,各元素に起因するピー
クを高エネルギー分解能条件で測定した結果を Figure 4-11 示す。 ここで,帯電補正のた
めにすべての試料の Si2p[metal]の結合エネルギーが 100eV になるようにエネルギーシフ
トを行っている。(Si2p[metal]は,試料間で共通であるためと,Physical Electronics 社
のハンドブック[42]の Si2p[metal]の結合エネルギーは 99~100eV ,NISTのオンライ
ンデータベース[43]では1つの例外を除き 99~100eV と記されている。) また,Figure
4-11 の高エネルギー分解能スペクトルの中で Hf4f のピーク形状のみがナノラミネートの
状態のみを直接反映しているが,Si2p(oxide)や O1s,N1s には下地との界面に形成してい
る酸窒化膜(IFL)の情報が含まれている上に,N1s(399eV)のエネルギー領域には,
Hf4p3/2 のプラズモン損失スペクトルが重複しているために解釈は容易ではない。 各ピー
クの面積強度を求めて,Physical Electronics 社の推奨する感度係数を用いて表面組成を
算出した結果を Table4-8 に示すとともに,大気中で表面に付着したと考えられる炭素と基
板成分のシリコン[metal]と N1s のエネルギー領域に重複する Hf4p 3/2 のプラズモン損失
成分を除去した後に再度組成を算出した結果を Table4-9 に示す。 また,ナノラミネート
膜の情報のみを直接反映していると考えられる Hf4f スペクトルから読み取った Hf4f7/2
の半値幅の Hf 組成(Hf/[Hf+Si])依存性を Figure 4-12 に示す。これらより,
1) 組成分析で求まった組成は概ね仕込み比を反映している。
2) ナノラミネート試料の Hf4f7/2 は試料間での差(組成依存性)が小さく,いずれもハ
フニウム酸化膜単層(Ref. 1)のものに比べて半値幅が広い。
ナノラミネート試料の半値幅が広い理由としては,ハフニウム酸化膜と窒化シリコ
ン膜が近接して存在しているために相互結合し,HfO2 と HfSiON 的な成分が共存してい
る結果として Hf4f7/2 の半値幅が広がったものと考えられる。
- 108 -
3) 成膜直後のナノラミネート試料の Hf4f7/2 は高結合エネルギー側にテーリングし
ているが,熱処理後の Hf4f7/2 は低結合エネルギー側にテーリングしている。
成膜直後のナノラミネートの高結合エネルギー側へのテーリングは,ハフニウムよ
りも電気陰性度の大きなシリコン(Hf:1.3, Si:1.9)が膜中に存在しているためと考え
ることができ[37][38][39][40] ,熱処理で低結合エネルギー側にテーリングする理由
としてハフニウムと酸素の結合が切れ,ハフニウムと窒素の結合ができたためか,ラ
ミネート中または界面のシリコンに酸素を取られためか,その両方と考えられるが詳
細は不明である。実際ハフニウム酸化膜中の酸素とハフニウムの結合はイオン結合で
あるため,非常に強固であるにもかかわらず,酸素はその膜中で容易にハフニウムと
の結合を切り,膜中を動き回る事が知られている。[41] この事は,Si2p スペクトル
中の酸化物(実際には酸化膜と窒化膜の区別をしていない)に起因するピーク強度が熱
処理プロセス後に増加することとも整合している。(熱処理でハフニウムと酸素の結合
が切れ,酸素は基板表面まで拡散し基板を酸化し,酸素欠損で不足する酸素を熱処理
雰囲気から補うため Si2p スペクトル中の酸化物の割合が増加した。)
4) MOCVDで成膜した試料はナノラミネート試料に比べて Hf4f7/2 の半値幅が広
く低結合エネルギー側にテーリングしている。
これは,MOCVD法で成膜した HfSiON 膜中の窒素量では,説明することができな
いため,酸素欠損に起因している可能性があるがこのスペクトルだけでは判断できな
い。
5) いずれの試料も熱処理によって Hf4f7/2 の半値幅が小さくなる。 これは,膜中の
未結合手が相互に結合して消失し,不純物が脱離して膜が緻密化したものと思われる。
(ALDプロセスで取り込まれた水分の脱離も考えられる。)
6) O1s スペクトルを相互に比較すると,少なくとも 3 つの化学状態の存在が確認でき,
各々HfO2,SiON(HfSiON),SiO2 に相当していると思われる。 また,成膜直後のハフニ
ウム酸化膜のピークだけが低結合エネルギー側にシフトしている理由として,ALD
の反応残(たとえば水)が膜中に存在しているために,膜が均一になっていないためと
考えられる。
Si2p スペクトルと O1s スペクトルは,酸化ハフニウム膜厚が微妙に異なるために単
純に比較することができないが,Sample1 と Sample2,Sample3 と Sample4 は酸化ハフ
ニウム膜厚が概ね等しいので比較してもよさそうである。これより,
- 109 -
7) いずれの試料も Si2p スペクトルの酸化物起因のピークが熱処理によって増加して
おり,特に Sample1 の酸化ハフニウム単層での増加が大きい。
これは酸化ハフニウムから脱離した酸素が界面に達しシリコンを酸化したものと思
われる。(違った考え方をすると酸化ハフニウム中を透過した酸素が界面に達し,シリ
コンを酸化したとも考えられる。) 酸素の拡散経路は酸化ハフニウムの結晶化に伴い
形成された結晶粒界の存在が考えられ,結晶化に伴って形成された凹部は,最表面か
らの経路も短くなっている。 またラミネート試料で酸化物起因のピークがさほど増加
しない理由として薄いとはいえ窒化膜の存在が酸素の自由な移動を阻害していること
と,非晶質であり続けるために結晶粒界のような特異な拡散経路が存在していないた
めに酸素のバリア性が保たれたものと思われる。さらに,Sample3 と Sample4 の違い
は単純に窒化膜層の数で説明でき特に Sample4 では,窒化膜があるために酸素のバリ
ア性が高く熱処理による酸化が抑制されているように見える。
8) O1s スペクトルに現れている成膜直後の Sample1 のみがピーク位置が低エネルギー
側にシフトしている。
これは,Sample1 のみがALDのみで成膜されており,ALDの成膜温度(300℃)以
上になっていないために不純物が残存しており膜が十分緻密になっていないが,他の
試料は窒化シリコン層を成膜するプロセスで少なくとも 700℃に昇温されており,膜
中の不純物が脱離するために膜が緻密になっているものと思われる。 またシリコンの
酸化物に起因するピークの挙動は概ね Si2p と同様の傾向を示しており,熱処理によっ
て酸化膜厚が増加する傾向があるとともに窒化膜の存在が酸素の通過をブロックして
いるように読み取れる。
[深さ方向分析]
ARXPSデータ(各元素に起因するピーク強度の取り出し角(分析深さ)依存性)に対し
て第2章の4節で述べた最大エントロピー法(MEM)を適用する事によって薄膜の深さ方
向プロファイルを構築した結果を Figure 4-13 に示す。 MEMの適用にあたって,各成分
は膜中に均一に分布しているという初期モデルから最適化計算を開始した。 ここで,Si2p
スペクトルのみ基板に起因するシリコンとその他の成分と考えてピーク分離を行った。(つ
まり,Figure 4-13 中では Si と Si-O と表示しているが,Si-O の中には Si-O と SiON,SiN
等,基板に起因するシリコンよりエネルギーの高いものすべてが含まれている。) これら
- 110 -
より,
1) MEMにより求められた膜厚は,概ね尤もらしい値を示している。(ALDサイク
ル(蛍光X線強度)と相関がある。)
2) MOCVDで成膜した試料(Sample5)では最表面を除いて膜中組成が均一である。
(本試料は,もともと均一な組成と考えている。)
3) MEMでは,シリコン窒化膜とハフニウム酸化膜よりなるナノラミネート構造の抽
出はできなかったが,界面遷移層(IFL)はかろうじて認識できた。これらの構造を
再現できなかった理由として,MEMの計算原理に起因する(MEMでは,多くの場合,
滑らかなプロファイルになる)可能性と表層に付着する炭化水素の影響(表面汚染の炭
素は,均一に付着しておらず島状に付着していると考えられるため深さ分解能を劣化
した可能性がある。)が少なからずあるものと考えている。 またLPCVD法で成膜
した 0.3nm(2 原子層)の窒化膜を膜と言って良いのかどうか,それらが均一に成膜され
ているのかどうかという懸念事項もある。
4) 酸化ハフニウム(Sample1)では,熱処理によって酸化ハフニウム膜中のシリコン濃
度の増加が観察されている。 しかし,本実験の熱処理(800℃)はハフニウム酸化膜の
結晶化温度より十分高いため,酸化ハフニウムの結晶成長が進み,表面に凹凸が形成
されたためにこのように見えた可能性が大きい。(つまり,凹領域ではハフニウム酸化
膜が極端に薄くなっているために平均的に考えるとハフニウム酸化膜中にシリコンが
進入しているように見えてしまう。)
5) いずれの試料も熱処理によって膜中の窒素量が減少し,酸化が進行している。(最
表面には多くの酸素が検出されており窒素はほとんど検出されていない。)
高エネルギー分解能測定結果から,誘電体膜の組成を算出する際に悪影響を与える成
分を除去した後に組成を算出した結果とARXPS-MEMで求められた組成プロフ
ァイルを積算することにより得られた平均膜組成は,Figure 4-14 に示す様に非常に
良く一致している。 この事は,量産展開時にハフニウムシリケート(HfSiON)膜の組成
を管理する目的で角度分解XPS測定を行い,組成プロファイルを再構築する必要が
無く通常の条件でのみ分析すればよい事を意味している。
[バンドアライメント]
ナノラミネート膜と,基板との間のバンドアライメントを決定するために,第2章の
- 111 -
5節で述べたように電子プローブを照射し,弾性散乱電子のエネルギー損失スペクトル
(EELS)からバンドギャップを見積もり,XPSの価電子帯スペクトルからバンドオ
フセットを求めた。 弾性散乱電子のエネルギー損失スペクトルを測定する際の測定条件
及びバンドオフセットを測定する際の測定条件を各々Table4-6,Table4-7 に示す。 ま
たそれらの測定結果を Figure 4-15,Figure 4-16 に示す。
Figure 4-15 では,縦軸を弾性散乱電子の強度で規格化しており,横軸は弾性散乱電
子のピーク位置がゼロになるように表示し,全試料の結果を重ね書きしたもので,左側
(a)のスペクトルは成膜直後(as deposition)の試料から,右側(b)のスペクトルは,熱処
理(800℃)後の試料からのスペクトルを各々示している。 また下段は上段のエネルギー
損失領域(強度軸)を拡大表示したものである。
また,Figure 4-16 では,バンドオフセットを決定するための,各試料の価電子帯ス
ペクトルと基板の標準スペクトルを利用して基板成分と高誘電体膜成分にピーク分離を
行った結果を示しており,Figure 4-11 と同様に,Si2p の主ピークが 100eV になるよう
に帯電補正を行った結果である。 ここで,基板の標準スペクトルは,今回のスペクトル
測定に先駆けて今回用いた試料を薄いフッ化水素酸水溶液に浸漬してシリケート膜を剥
離・水洗後に,疎水性を示した試料(水素終端シリコン)からのスペクトルである。 実際
に本実験で基準としたスペクトルを Figure 4-17 に示す。 ここで(a)は概観スペクトル
であり,表面の汚染(炭素も酸素も)も非常に少ないことが確認でき,(b)は Si2p 高エネ
ルギー分解能スペクトルを示しており,酸化物に起因したピークが観測されていないこ
と事と,本測定のエネルギー分解能を知ることができ,(c)は,水素終端シリコンの価電
子帯スペクトルであり,今回のバンドオフセット決定の肝(よりどころ)である。 フィッ
ティングでは,測定スペクトルSmeas は,リファレンス(水素終端シリコン)スペクトルS
ref
と絶縁膜からのスペクトルSdiel の線形結合であると考え,以下の式に示す様に規格化
された測定スペクトルSmeas は,規格化された絶縁膜成分スペクトルSdiel と規格化され
た基板成分(水素終端シリコン)スペクトルSref を合せたものであるという考えに基づい
ている。
S meas. = aS diel . − bS ref
ここでa,bは定数であり,a+b=1の関係にある。 具体的には,リファレンススペ
クトルSref と測定スペクトルSmeas の結合エネルギーが 0.5~2.5eV の範囲での強度差の
二乗和が最小になる様に b 値を変化させること事により,測定スペクトル内に占める基
- 112 -
板からの寄与(b)を決定し,測定スペクトル(赤)からリファレンスシリコンのスペクト
ル(橙)を引いたもの(青)をゲート絶縁体膜(高誘電体膜及び界面のIFL)のスペクトル
であると考えた。(これは Figure 4-4 より HfO2 のバンドオフセットが 3.4eV であること
事から,この範囲にまで高誘電体膜のバンド端が来ていないと考えた。) 実際のフィッ
テフィグは,EXCELのツールタブの中にあるソルバーを用いて行っている。 なおI
FL(界面層)は酸化膜を主成分とし,わずかに窒素がドープされているもの(ほとんど酸
化シリコン)であることから高誘電体膜よりもバンドオフセットが大きいと考えられる
(Figure 4-4 参照)ため,シリコンの立ち上がり(橙)とこの差分(青)の立ち上がりのエネ
ルギー差が計測できれば,高誘電体膜とシリコンのバンドオフセットを計測したことに
なる。
これらより,バンドギャップ及びバンドオフセットを決定した結果を Figure 4-18,
Figure 4-19 に各々示す。 これより,バンドギャップは,組成(Hf/[Hf+Si])及び熱処
理によらず一定であり,John Robertson の報告している酸化ハフニウムの値[11]より
0.5eV 高めの値であった。 いっぽう,バンドオフセットはいずれの試料も熱処理によっ
て大きくなる傾向にあり,なかでも酸化ハフニウムは,熱処理によるバンドギャップの
変化が大きく John Robertson の報告値[11]に極めて近いことがわかった。 ナノラミネ
ート間で比較すると,組成(Hf/[Hf+Si])が大きくなるほどバンドオフセットが小さくな
るという少々奇異な傾向にある。また Figure 4-17 の価電子帯スペクトルを見ると熱処
理後の酸化ハフニウム(Sample1)のものだけが約 8eV 付近でピークが切れ込んでいる。
この切れ込みは,シリコン表面の酸化が進行した事,結晶化(緻密化)によって酸化ハフ
ニウムの価電子帯構造が変化した事,ナノラミネートでは HfSiON となっている事でピー
クがブロードになっているためなどが考えられるが,今回の実験範囲内で結論を出すこ
とができない。
4-2-3 まとめ
電子分光法を用いて次世代ロジック(特に低消費電力)LSI向けの電界効果トランジ
スタのゲート絶縁膜として期待されているハフニウムシリケート膜の亜種と位置づけら
れる酸化ハフニウムと窒化シリコンからなるナノラミネート膜の熱処理依存性の評価を
行った。
高エネルギー分解能スペクトルより,Hf4f7/2 スペクトルに熱処理に依存する変化が
- 113 -
観察されたが,ラミネート構造の違いによるスペクトルの変化は観察されなかった。ま
た,Si2p スペクトルより窒化シリコン層が多くなるほど,熱処理後によって酸化シリコ
ンに起因するピークの強度に変化が少ない(熱処理で酸化が進行しない)傾向にあること
が判った。つまり窒化シリコンが酸素の拡散を抑制しているものと思われる。
角度分解XPSスペクトルに最大エントロピー法を適用して極薄膜の深さ方向プロフ
ァイルの再構築を行った結果,いずれの試料も最表面で極端な酸素の濃化が見られた。
これは,表面側が過剰酸素状態で有るのか膜中に酸素欠損が有るのかは不明である。ま
たMEMそのものの特性に起因している可能性もある。
弾性散乱電子のエネルギー損失スペクトルと価電子帯の高エネルギー分解能スペクト
ルからバンドギャップとバンドオフセットを計測した。 バンドギャップ値は,試料構造
の違いや熱処理の有無によらず約 6.6eV であった。 バンドオフセット値は,いずれの試
料も熱処理によって大きくなり,ナノラミネート(MOCVDを含む)では熱処理後に 2.4
~2.7eV で,酸化ハフニウム単層では約 3.3eV であった。 つまり,ナノラミネートは酸
化ハフニウムに比べてバンドオフセットが小さい事がわかった。 また,ナノラミネート
では Hf 量が少ないほどバンドオフセットが小さくなる傾向にあることがわかった。John
Robertson の報告[13]している HfO2 の値に比べてバンドギャップ値は約 0.6eV 大きく,
バンドオフセットは約 0.1eV 小さい結果となった。
今回の評価範囲では,ナノラミネート膜とMOCVD膜の間で大きな違いが見られて
いない(Hf4f7/2 のピーク形状を除く)ため,量産時にMOCVD法で組成の管理(ロット
間,面内分布など)が難しい場合は,デジタル的に成膜するナノラミネートも解のひとつ
になると思われる。 ただし,ナノラミネートの場合スループットに大きな問題が残って
いる。
- 114 -
(b) トランジスタがオフ状態でのリーク電流
(a) トランジスタがオン状態でのリーク電流
gate (VG)
gate (0V)
drain (VSD)
source (0V)
drain (VSD)
source (0V)
gate leak current
gate leak current
on current [ION]
junction leak current uncontrolled current
junction leak current
Figure 4-1 微細トランジスタで問題になるゲートリーク電流 。(a) トランジスタがオン状態でのリーク電
流 (b) トランジスタがオフ状態でのリーク電流。
H
Li Be
Na Mg
K Ca Sc
Rb Sr Y
Cs Ba La
Fr Ra Ac
Ti
Zr
Hf
V Cr Mn Fe Co
Nb Mo Tc Ru Rh
Ta W Re Os Ir
Ni
Pd
Pt
B
C
Al Si
Cu Zn Ga Ge
Ag Cd In Sn
Au Hg Tl Pb
La
Ce
Pr
Nd Pm Sm Eu Gd Tb
Dy Ho
Ac
Th
Pa
U
Cf
Np
Pu Am Cm Bk
N
P
As
Sb
Bi
O
S
Se
Te
Po
F
Cl
Br
I
At
Er Tm Yb Lu
Es Fm Md No
Lr
1000Kで酸化物が安定に存在できない。
Si+MOx --> M+SiO2+⊿G
Si+MOx --> MSi+SiO2+⊿G
Figure 4-2 K. J. Hubbardによってまとめられた[12]シリコンベースのMOSFETに適用可能な基板や
ゲートとの界面が安定(相互反応せず,シリコンを酸化しない)していると考えられる材料候補。
Conduction band
⊿E for electron
⊿E for hole
Valence band
High-K
Si
Figure 4-3 シリコンと高誘電体間のバンドアライメント評価の重要性を示すエネルギーバンド図。
- 115 -
He
Ne
Ar
Kr
Xe
Rn
ε
Conduction band
3.9
~7 ~25 11-18 24 8.5-10
3.5
2.4
0.3 1.4
Band gap
2.8
2.3
1.5
8.95eV 4.75eV
1.8
Valence band
1.5
4.4
4.65eV 5.50eV
3.0
3.3
off set
6.95eV
3.4
3.6
3.4
4.9
Figure 4-4 John Robertsonによってまとめられたシリコンと主な高誘電体膜のバンドアライメント[13]に,
Seiichi Miyazakiによるバンドギャップの実験値(青)[14]を加筆。
- 116 -
(c) HfO2 after anneal [1050C]
(a) as-depo HfO2 [3 nm]
RMS:0.16 nm
500nm
RMS:2.20nm
(b) HfO2 after anneal [700C+ 1050C]
RMS:0.89nm
500nm
(d) Nano-laminate after anneal [1050C]
500nm
RMS:0.21nm
500nm
Figure 4-5 ナノラミネートの熱処理安定性を示すAFMデータ。 (a) ALDでHfO2成膜後,(b) ALD-HfO2
をアニール(700C+1050C)後,(c) ALD-HfO2をアニール(1050C)後,(d)ナノラミネートをアニー
ル(1050C)後。
Intensity [A. U. ]
HfO2 as-deposition
HfO2 after anneal [1050 C]
HfO2 after anneal [700C+1050C]
HfO2/SiNx laminate after anneal [1050C]
2θ/θ
Figure 4-6 ナノラミネートの熱処理安定性を示すX線回折結果。
- 117 -
Leakage current [A/cm 2 ])
10-1
10-3
10-5
10-7
10-9
-2.0
-1.5
-1.0
-0.5
Voltage (@Vfb-1)
0.0
Figure 4-7 ナノラミネートの熱処理安定性を示すゲート電流特性。
Table 4-1 実験試料(HfO2とSiNよりなるナノラミネート)の作成プロセス。
(1) substrate : p-Si(100)
(2) Interface Layer(IFL) : SiON[<0.5nm]
(3) High-K deposition
① HfO2[3nm]/IFL/Si-sub.
45 cycle
② (SiN/HfO2[1.6nm])×2/IFL/Si-sub. 24 cycle×2
③ (SiN/HfO2[1.1nm])×2/IFL/Si-sub. 16 cycle×2
④ (SiN/HfO2[0.7nm])×3/IFL/Si-sub. 11 cycle×3
⑤ HfSiON[3nm]/IFL/Si-sub.
(4) Anneal
non or 800℃
Sample1
Sample2
Sample3
Sample4
Ref.MOCVD
HfO2 : ALD : HfCl4+H2O[300℃] 0.07 nm/cycle
SiN : LPCVD : SiH4+NH3[700℃] 0.29 nm
HfSiON : Si2H4+Hf(C4H9O)4[280℃]-->Oxidation[250℃]-->Nitridation[700℃]
① or ⑤
②
③
Figure 4-8 各試料の構造。
- 118 -
④
SiN
HfO2, HfSiON
IFL
Si-sub.
X-ray intensity [cps.]
350
① [45cycle]
300
② [48cycle]
250
③ [32cycle]
200
④ [33cycle]
150
100
50
0
as depo
ANL[800C]
0
10
20
30
ALD cycle
40
50
Figure 4-9 ALDでHfO2を堆積したサイクルに対する蛍光Ⅹ線(Hf-Lα)強度。
Table 4-2 ナノラミネートの評価事項と評価方法。
1) 化学状態と組成
・平均組成と化学状態 : XPS
・組成の深さ分布 : ARXPS+MEM
2) バンドアライメント
・バンドギャップ : REELS
・バンドオフセット : XPS
Table 4-3 蛍光X線(Hf-Lα)強度の測定条件。
FXRS : Technos TREX622
Probe : Mo-kα[40kV, 40mA]
Incident angle : 89.75 deg. fromrom surface normal.
Table 4-4 表面組成及び化学状態分析の際のXPS測定条件。
XPS(Chemical analysis) : Physical Electronics Inc. model 5400MC
Probe : Mg-kα [15kV, 26.6mA]
Take off angle : 90 deg.
Pass energy : 17.5 eV
Energy step : 0.05 eV/step
Analysis area : 1.1mmφ
- 119 -
Table 4-5 深さ方向プロファイルを求めるためのARXPS分析時の測定条件。
XPS(ARXPS-MEM) : Physical Electronics Inc. model 5400MC
Probe : Mg-kα [15kV, 26.6mA]
Take off angle : 24, 30, 37, 53, 64 deg.
Pass energy : 17.5 eV
Energy step : 0.05 eV/step
Analysis area : 1.1mmφ
Table 4-6 バンドギャップを決定する際のREELS測定条件。
REELS(Band gap) : VG Scientific ESCALAB Mrk Ⅱ
Probe : electron [500 V, 2nA]
Incident angle : 30 deg. from surface normal
Take off angle : 90 deg.
Pass energy : 17.5 eV
Energy step : 0.05 eV/step
Analysis area : 3.5 mm×3.5mmφ
Table 4-7 バンドオフセット量を決定する際のXPS測定条件
XPS(Band offset) : Physical Electronics Inc. model 5400MC
Probe : Al-kα(monochro) [15kV, 40mA]
Take off angle : 65 deg.
Pass energy : 17.5 eV
Energy step : 0.05 eV/step
Analysis area : 1.1mmφ
- 120 -
400
200
0
1000 800
N1s
0.2
Hf4f
Hf4d
0.4
600
400
200
0
Binding energy [eV]
0.8
0.4
0
1000 800
600
400
200
Binding energy [eV]
0
1000 800
600
400
200
Binding energy [eV]
0
Figure 4-10 各試料のXPS概観スペクトル。
- 121 -
Hf4p1
Hf4p3
C1s
0.6
0.4
0.2
Hf4f
Si2s
Si2p
Hf4d
0.8
N1s
Relative intensity [A. U.]
0
O1s
O KLL
Hf4f
0.2
N1s
0.2
0
0.6
Hf4f
Hf4d
0.4
600
400
200
0
Binding energy [eV]
Hf4p1
Hf4p3
C1s
0.6
0.4
0
1000 800
N1s
0.2
Hf4f
O1s
Hf4d
0.8
③ Sample3+ANL
Si2s
Si2p
1
O KLL
Hf4f
O1s
Si2s
Si2p
Hf4p1
Hf4p3
C1s
0.6
200
⑤ Ref. [MOCVD]
O1s
O KLL
Relative intensity [A. U.]
0.8
Hf4d
400
Si2s
Si2p
Hf4d
600
④ Sample4+ANL
1
Si2s
Si2p
Hf4p1
Hf4p3
C1s
N1s
Hf4p1
Hf4p3
C1s
0.2
1
Hf4p1
Hf4p3
C1s
0.6
0.4
Binding energy [eV]
O1s
Si2s
Si2p
0.8
④ Sample4
O KLL
Relative intensity [A. U.]
1
0.6
0
1000 800
0
Binding energy [eV]
0.8
Hf4f
600
O1s
Hf4d
0
1000 800
② Sample2+ANL
③ Sample3
0
1000 800
0
Si2s
Si2p
0.2
200
N1s
0.4
400
Hf4p1
Hf4p3
C1s
Hf4d
Hf4p1
Hf4p3
C1s
0.6
1
Hf4f
O1s
Si2s
Si2p
0.8
600
Binding energy [eV]
① Sample1+ANL
O KLL
Relative intensity
1
0.2
0
1000 800
0
Binding energy [eV]
0.4
1
Relative intensity [A. U.]
200
0.6
N1s
400
0.8
O1s
O KLL
600
Relative intensity [A. U.]
0
1000 800
② Sample2
O KLL
0.2
Relative intensity
0.4
Hf4f
Hf4d
Hf4p1
Hf4p3
C1s
0.6
Si2s
Si2p
0.8
Relative intensity [A. U.]
O1s
O KLL
Relative intensity [A. U.]
1
O KLL
① Sample1
1
600
400
200
Binding energy [eV]
0
HfO HfSiON- 2
HfO 2 HfSiON-
0.6
テーリング
0.4
①
②
0.2 ③
④
24
22
20
18
16
Binding energy [eV]
14
①
0.6 ②
③
④
0.4 ⑤
0
410
405
400
395
Binding energy [eV]
annealed
390
N1s
SiON
0.8
0.6
①
0.4 ②2
③
④
0.2
0
410
405
400
395
Binding energy [eV]
390
0.4
①
②
0.2 ③
④
1
-SiON
0.4 ①
②
③
0.2 ④
SiO 2 HfO 2 -
Relative intensity [A. U.]
0.6
⑤
Binding energy [eV]
1
annealed
0.8
O1s
0.6
0.4 ①
②
0.2 ③
④
0
540 538 536 534 532 530 528 526
Binding energy [eV]
as deposited
C1s
0.8
0.6
①
0.4 ②
③
④
0.2 ⑤
0
296 294 292 290 288 286 284 282 280
Binding energy [eV]
1
Relative intensity [A. U.]
0.2
0.6
Binding energy [eV]
Relative intensity [A. U.]
N1s
SiON
0.8
Si2p
0
110 108 106 104 102 100 98 96
as deposited
0.8
1
Relative intensity [A. U.]
Hf4f
annealed
O1s
0
540 538 536 534 532 530 528 526
Binding energy [eV]
1
0.8
1
14
0.8
-SiON
16
as deposited
SiO 2 HfO 2 -
18
②
③
0.2 ④
⑤
Relative intensity [A. U.]
20
HfSiON-
Relative intensity [A. U.]
22
Binding energy [eV]
0.4 ①
1
0
110 108 106 104 102 100 98 96
annealed
0
26
Relative intensity [A. U.]
24
0.6
Si2p
Si-
②
③
0.2 ④
⑤
0.8
Si-
0.4 ①
as deposited
-SiO 2
-HfSiON -SiON
テーリング
0
26
Relative intensity[eV]
HfO HfSiON- 2
HfO 2 HfSiON-
0.6
Relative intensity [A. U.]
Hf4f
0.8
1
1
-SiO 2
-HfSiON -SiON
as deposited
HfSiON-
Relative intensity [A. U.]
1
annealed
C1s
0.8
0.6
0.4 ①
②
③
0.2 ④
0
296 294 292 290 288 286 284 282 280
Binding energy [eV]
Figure 4-11 各試料のXPS高エネルギー分解能スペクトル。 ここで帯電補正として Si2p[metal]=
100eV になるようシフト。
- 122 -
Table 4-8 高エネルギー分解能スペクトルより組成を算出し,ピーク分離でSi2pスペクトルを酸化物と
基板成分に分けて構成比を求めた結果。
as deposited
annealed
as deposited
Sample 2
annealed
as deposited
Sample 3
annealed
as deposited
Sample 4
annealed
Sample 1
MOCVD
Hf4f
[2.901]
22.6
24.9
18.8
19.1
16.8
16.3
15.4
16.5
16.2
Atomic concentration
Si2p
O1s
N1s
[0.368] [0.733] [0.499]
3.9
44.5
2.5
4.6
49.5
2.9
10.3
44.6
8.0
9.6
46.0
6.6
14.3
43.6
7.4
14.3
46.5
6.4
14.2
44.8
6.8
15.5
43.9
6.9
11.4
43.8
7.4
Ratio
C1s
[0.314]
26.5
18.1
18.3
18.7
18.0
16.6
18.7
17.4
21.2
Si2p
Oxide
metal
61.5
47.7
14.7
14.3
28.3
24.7
22.6
20.1
17.8
38.5
52.3
85.3
85.7
71.7
75.3
77.4
79.9
82.2
(Hf
Hf
+ Si oxide )
0.94
0.91
0.68
0.70
0.62
0.60
0.58
0.57
0.63
Table 4-9 Table4-8より大気中で付着したと思われる炭素と,基板成分に起因すると考えられるSi成
分を除去して組成再計算結果。
Atomic concentration
as deposited
annealed
as deposited
Sample 2
annealed
as deposited
Sample 3
annealed
as deposited
Sample 4
annealed
Sample 1
MOCVD
④
Hf4f
[2.901]
32.5
32.1
23.5
23.9
21.5
20.3
19.8
20.7
21.1
③
Si2p
[0.368]
2.2
3.1
11.0
10.3
13.2
13.4
14.1
15.5
12.2
O1s
[0.733]
64.1
63.7
55.6
57.6
55.9
58.2
57.4
55.2
57.0
N1s
[0.499]
1.2
1.2
10.0
8.3
9.5
8.0
8.7
8.6
9.6
Ratio
(Hf
Hf
+ Si oxide )
0.94
0.91
0.68
0.70
0.62
0.60
0.58
0.57
0.63
②
①
Figure 4-12 各試料より求めた組成分析結果よりHf/(Hf+Si)比を求め,半値幅との関係をプロット結果。
- 123 -
20
0
2
4
6
Depth [nm]
8
A.C. %
Si : 5
O : 69
N:2
Hf : 24
Hf/(Hf+Si)=0.83
20
0
100
0
2
4
6
Depth [nm]
8
20
0
2
4
4
6
Depth [nm]
8
A.C. %
Si : 11
O : 62
N:7
Hf : 21
Hf/(Hf+Si)=0.64
20
0
2
4
6
Depth [nm]
20
0
100
Si
Si-O
O
N
Hf
40
A.C. %
Si : 11
O : 66
N:9
Hf : 14
Hf/(Hf+Si)=0.56
40
0
10
② Sample2+ANL
60
60
8
10
2
4
6
Depth [nm]
8
③ Sample3+ANL
Si
Si-O
O
N
Hf
80
60
A.C. %
Si : 13
O : 65
N:8
Hf : 15
Hf/(Hf+Si)=0.54
40
20
0
10
0
2
4
6
Depth [nm]
8
10
⑤ Ref.[MOCVD]
A.C. %
Si : 12
O : 64
N : 10
Hf : 14
Hf/(Hf+Si)=0.54
40
2
Si
Si-O
O
N
Hf
80
100
Si
Si-O
O
N
Hf
60
0
80
0
10
④ Sample4
80
0
Atom ic concentration [%]
80
40
20
100
Si
Si-O
O
N
Hf
A.C. %
Si : 10
O : 60
N : 11
Hf : 20
Hf/(Hf+Si)=0.67
40
0
10
① Sample1+ANL
60
80
60
③ Sample3
100
Si
Si-O
O
N
Hf
Atom ic concentration [% ]
40
② Sample2
Atom ic concentration [% ]
A.C. %
Si : 4
O : 71
N:2
Hf : 23
Hf/(Hf+Si)=0.85
Atom ic concentration [% ]
60
100
Atom ic concentration [%]
100
Si
Si-O
O
N
Hf
80
0
Atom ic concentration [%]
① Sample1
6
Depth [nm]
8
10
Atom ic concentration [%]
Atom ic concentration [% ]
100
Si
Si-O
O
N
Hf
80
60
A.C. %
Si : 11
O : 61
N : 12
Hf : 16
Hf/(Hf+Si)=0.60
40
20
0
0
2
4
6
Depth [nm]
8
10
④ Sample4+ANL
Atom ic concentration [% ]
100
Si
Si-O
O
N
Hf
80
60
A.C. %
Si : 12
O : 65
N:9
Hf : 14
Hf/(Hf+Si)=0.54
40
20
0
0
2
4
6
Depth [nm]
8
10
Figure 4-13 ARXPS分析結果にMEMを適用し深さ方向プロファイルを再構築した結果。誘電体層のプ
ロファイルの積分値より誘電体層における組成及び組成比を算出。
- 124 -
(b) annealed
1
1
0.8
0.6
⑤
0.4 ④
③
0.2 ②
①
0
-20
0.15
Relative intensity [A. U.]
Relative intensity [A. U.]
(a) as deposited
-15
-10
-5
0
Relative electron energy [eV]
0.6
④
0.4 ③
②
0.2 ①
-15
-10
-5
0
Relative electron energy [eV]
5
0.15
0.1
⑤
④
0.05
③
②
0
-20
0.8
0
-20
5
①
-15
-10
-5
0
Relative electron energy [eV]
Relative intensity [A. U.]
Relative intensity [A. U.]
Figure 4-14 ARXPS分析結果にMEMを適用し深さ方向プロファイルを再構築した結果。誘電体層の
プロファイルの積分値より誘電体層における組成及び組成比を算出。
0.1
④
0.05
②
0
-20
5
③
-15
-10
-5
0
Relative electron energy [eV]
①
5
Figure 4-15 REELSXPSによって各試料のバンドギャップを計測。(下段は上段を拡大表示) (a)成膜
後,(b)アニール後。
- 125 -
(a) Survey spectrum
(b) Si2p high resolution spectrum
(c) Valence band spectrum
Si2s Si2p
O1s
C1s
O KVV
Figure 4-16 基板の価電子帯スペクトルのリファレンススペクトル。 (a) 概観スペクトル,(b) Si2pの高
エネルギー分解能スペクトル,(c) 価電子帯スペクトル。
- 126 -
0.6
0.4
0.2
0
15
0
Binding energy ]eV]
0.6
0.4
0.2
1
10
5
0
Binding energy ]eV]
10
5
0
Binding energy ]eV]
0.8
0.6
0.4
0.2
10
5
0
Binding energy ]eV]
-5
Measured
Si
High-K
0.8
0.6
0.4
0.2
0
15
-5
Measured
Si
High-K
③ Sample3
1
10
5
0
Binding energy ]eV]
-5
③ Sample3+ANL
Measured
Si
High-K
0.8
0.6
0.4
0.2
0
15
10
5
0
Binding energy ]eV]
-5
⑤ Ref.[MOCVD]
0.6
0.4
0.2
10
0.2
0
15
-5
Measured
Si
High-K
0.8
15
0.4
1
④ Sample4
0
0.6
1
② Sample2+ANL
Measured
Si
High-K
0.8
Measured
Si
High-K
0.8
0
15
-5
① Sample1+ANL
0
15
Relative intensity [A. U.]
5
Relative intensity [A. U.]
Relative intensity [A. U.]
1
10
② Sample2
Relative intensity [A. U.]
0.8
1
Relative intensity [A. U.]
Measured
Si
High-K
Relative intensity [A. U.]
① Sample1
5
0
Binding energy [eV]
-5
1
Relative intensity [A. U.]
Relative intensity [A. U.]
1
Measured
Si
High-K
0.8
0.6
0.4
0.2
0
15
10
5
0
Binding energy ]eV]
-5
④ Sample4+ANL
Relative intensity [A. U.]
1
Measured
Si
High-K
0.8
0.6
0.4
0.2
0
15
10
5
0
Binding energy [eV]
-5
Figure 4-17 基板の価電子帯スペクトルのリファレンススペクトルを用いて各試料のピーク分離を行
い,差分よりバンドオフセットを計測。
- 127 -
Band gap [eV]
8
7.5
7
③
6.5
④
⑤
6
②
①
reference HfO2 data
○ as deposited
◇ annealed
▼ MOCVD
0.8
0.9
1
5.5
5
0.5
0.6
0.7
Composition [Hf/(Hf+Si)]
Figure 4-18 REELSより,決定したバンドギャップの組成,熱処理依存性。
4
reference HfO2 data
Band offset [eV]
3.5
3
①
⑤
2.5
④ ③
2
②
1.5
1
0.5
0.6
0.7
○ as deposited
◇ annealed
▼ MOCVD
0.8
0.9
1
Composition [Hf/(Hf+Si)]
Figure 4-19 価電子帯スペクトルから基板成分を除去することで求められたバンドオフセットの組成,
熱処理依存性。
- 128 -
参考文献
[1] Makoto Nakamura, Akihiro Tanaka, David G. Watson, Masaru Shimomura, Yasuo
Fukuda, ShiQin Xiao and Kazuto Ikeda : J. Surf. Anal., 12 (2005) 263.
[2] http://strj-jeita.elisasp.net/strj/IRS04-JP/Roadmap-040407.htm.
[3] 山下良之, 山本達, 向井孝三, 吉信淳, 原田慈久, 徳島高, 高田恭孝, 辛埴, 赤
木和人, 常行真司 : 表面科学 26 (29005) 514.
[4] 例えば,丹羽正明 : 応用物理,72 (2003) 1143.
[5] 鳥海明 : 電子情報通信学会論文誌, J84-C (2001) 76.
[6] 鳥海明 : 応用物理, 69 (2000) 1049.
[7] G. D. Wilk, R. M. Wallace and J. M. Anthony : Appl. Phys. Rev., 89 (2001)
5243.
[8] 広瀬全孝 : 応用物理, 71 (2002) 1091.
[9] H. Iwai, S. Akama, C. Ohshima, A. Kikuchi, I. Kashiwagi, J. Taguchi, H.
Yamamoto, J. Tonotani, Y. Kim, I. Ueda, A. Kuriyama and Y. Yoshihara : Tech.
Dig. Inter. Electron Device Meeting, (2002) 635.
[10] Y. Morisaki, T. Aoyama, Y. Sugita, K. Irino, T. Sugii and T. Nakamura : Tech.
Dig. Inter. Electron Device Meeting, (2002) 861.
[11] E. Leobandung, H. Nayakama, D. Mocuta, K. Miyamoto, M. Angyal, H. V.- Meer,
K. McStay, I. Ahsan , S. Allen, A. Azuma, M. Belyansky, R.-V. Bentum, J. Cheng,
D. Chidambarrao, B. Dirahoui, M. Fukasawa, M. Gerhardt, M. Gribelyuk, S.
Halle, H. Harifuchi, D. Harmon, J. Heaps-Nelson, H. Hichri, K. Ida, M.
Inohara, K. Inoue, K. Jenkins, T. Kawamura, B. Kim, S.-K. Ku, M. Kumar, S.
Lane, L. Liebmann, R. Logan, I. Melville, K. Miyashita, A. Mocuta, P. O'Neil,
M.-F. Ng, T. Nogami, A. Nomura, C. Norris, E. Nowak, M. Ono, S. Panda, C.
Penny, C. Radens, R. Ramachandran, A. Ray, S.-H. Rhee, D. Ryan, T. Shinohara,
G. Sudo, F. Sugaya, J. Strane, Y. Tan, L. Tsou, L. Wang, F. Wirbeleit, S.
Wu, T. Yamashita, H. Yan, Q. Ye, D. Yoneyama, N. Zamdmer, H. Zhong, H. Zhu,
W. Zhu, P. Agnello, S. Bukofsky, G. Bronner, E. Crabbé, G. Freeman, S.-F.
Huang, T. Ivers, H. Kuroda, D. McHerron, J. Pellerin, Y. Toyoshima, S.
Subbanna, N.Kepler, and L. Su : Tech. Dig. Symp. VLSI Tech., (2005) 126.
- 129 -
[12] K. J. Hubbard and D. G. Schlom: J. Mater. Res., 11 (1996) 2757.
[13] John Robertson,: J. Vac. Sci. Technol., B18 (2000) 1785,
[14] Seiichi Miyazaki : J. Vac. Sci. Technol., B19 (2001) 2212,
[15] 関根勝行,犬宮誠治,金子明生,佐藤基之,平野泉,山口豪,江口和弘,綱島祥
隆:応用物理,73 (2004) 1200.
[16] R. Mitsuhashi, K. Torii, H. Ohji, T. Kawahara, H. Horiuchi, H. Takada, M.
Takahashi and H. Kitajima : Proc. Int. Conf. Solid State Devices and
Materials, (2004) 34.
[17] 鳥居和功,白石賢二,宮崎誠一,山田啓作:応用物理, 74 (2005) 1211.
[18] H. J. Ryu, W. Y. Chung, Y. J. Lee, H. S. Jung, C. B. Oh, H. S. Kang and Y.
W. Kim : Tech. Dig. Symp. VLSI Tech., (2004) 39.
[19] Y. Yasuda, N. Kimizuka, T. Iwamoto, S. Fujieda, T. Ogura, H. Watanabe, T.
Tatsumi, I. Yamamoto, K. Ito, H. Watanabe, Y. Yamagata and K. Imai : Tech.
Dig. Symp. VLSI Tech. (2004) 41.
[21] C. Hobbs, L. Fonseca, V. Dhandapani, S. Samavedam, B. Taylor, J. Grant, L.
Dip, D. Triyoso, R. Hegde, D. Gilmer, R. Garcia, D. Roan, L. Lovejoy, R. Rai,
L. Hebert, H. Tseng, B. White and P. Tobin : Tech. Dig. Symp. VLSI Tech.,
(2003) 9.
[20] A. L. P. Rotondaro, M. R. Visokay, J. J. Chambers, A. Shanware, R. Khamankar,
H. Bu, R. T. Laaksonen, L. Tsung, M. Douglas, R. Kuan, M. J. Bevan, T. Grider,
J. McPherson and L. Colombo : Symp. on VLSI Tech., (2002) 148.
[22] Takeshi Watanabe, Mariko Takayanagi, Kenji Kojima, Katsuyuki Sekine,
Hiroyuki Yamasaki, Kazuhiro Eguchi, Kazunari Ishimaru and Hidemi Ishiuchi:
Tech. Dig. Inter. Electron Device Meeting, (2004) 507.
[23] M. Kadoshima1, A. Ogawa1, M. Takahashi1, H. Ota, N. Mise1, K. Iwamoto1, S.
Migita, H. Fujiwara1, H. Satake1, T. Nabatame1 and A. Toriumi : Tech. Dig.
Symp. VLSI Tech., (2005) 70.
[24] Yuuichi Kamimuta, Masato Koyama, Tsunehiko Ino, Katsuyuki Sekine, Motoyuki
Sato, Kazuhiro Eguchi, Mariko Takayanagi, Mitsuhiro Tomita and Akira
Nishiyama : SSDM Tech. Dig., (2005) 24.
- 130 -
[25] N. Kimizuka, Y. Yasuda, T. Iwamoto, I. Yamamoto, K. Takano, Y. Akiyama and
K. Imai : Tech. Dig. Symp. VLSI Tech., (2005) 218.
[26] Y. Shimamoto1, J. Yugami, M. Inoue, M. Mizutani, T. Hayashi, K. Shiga, F.
Fujita, M. Yoneda, and H. Matsuoka1 : Tech. Dig. Symp. VLSI Tech. (2005)132
[27] B. Tavel, T. Skotnicki, G. Pares, N. Carrière, M. Rivoire, F. Leverd, C.
Julien, J. Torres and R.Pantel : Tech. Dig. Inter. Electron Device Meeting,
(2001) 825.
[28] Kensuke Takahashi, Kenzo Manabe, Taeko Ikarashi, Nobuyuki Ikarashi, Takashi
Hase, Takuya Yoshihara, Heiji Watanabe, Toru Tatsumi, Yasunori Mochizuki :
Tech. Dig. Inter. Electron Device Meeting, (2004) 91.
[29] Masayuki Terai, Kensuke Takahashi, Kenzo Manabe, Takashi Hase, Takashi Ogura,
Motofumi Saitoh, Toshiyuki Iwamoto, Toru Tatsumi, and Hirohito Watanabe :
Tech. Dig. Symp. VLSI Tech., (2005) 68.
[30] J. A. Kittl, A. Veloso, A. Lauwers, K. G. Anil, C. Demeurisse, S. Kubicek,
M. Miwa, M. J. H. van Dal, O. Richard, M. A. Pawlak, M. Jurczak, C. Vrancken,
T. Chiarella, S. Brus, K. Maex and S. Biesemans : Tech. Dig. Symp. VLSI Tech.
(2005) 72.
[31] 鳥居和功:電子材料, 5 (2003) 66.
[32] S. Q. Xiao, Y. Sugita, Y. Morisaki, T. Nakamura, K. Irino and T. Ohba : Proc.
Dry Process Symp., (2003) 73.
[33] T. Takahagi, I Nagai, A. Ishitani and H. Kuroda : J. Appl. Phys., 64 (1998)
3516.
[34] H. Ubara, T. Imura and A. Hiraki : Solid State Commun., 50 (1984) 673.
[35] M. Grundner and H. Jacob : Appl. Phys., A39 (1986) 73.
[36] E. Yablonovitch, D. L. Allara, C. C. Chang, T. Gmitter, and T. B. Bright :
Phys. Rev. Lett., 57 (1986) 249.
[37] Masato Koyama, Yuuichi Kamimuta, Tsunehiko Ino, Akio Kaneko, Seiji Inuyama,
Kazuhiro Eguchi, Mariko Takayanagi and Akira Nishiyama : Tech. Dig. Inter.
Electron Device Meeting (2004) 499.
[38] Masahiko Koike, Tsunehiro Ino, Yuuichi Kamimuta, Masato Koyama, Yoshiki
- 131 -
Kamata, Masamichi Suzuki, Yuuichiro Mitani, Akira Nishiyama and Yoshitaka
Tsunashima : Tech. Dig. Inter. Electron Device Meeting (2003) 107.
[39] Katsuyuki Sekine, Seiji Inumiya, Motoyuki Sato, Akio Kaneko, Kazuhiro Eguchi
and Yoshitaka Tsunashima : Tech. Dig. Inter. Electron Device Meeting (2003)
103.
[40] Masahiko Koike,Tsunehiro Ino,Yuuichi Kamimuta,Masato Koyama, Yoshiki
Kamata, Masamichi Suzuki, Yuuichiro Mitani, Akira Nishiyama and Yoshitaka
Tsunashima : Tech. Dig. Inter. Electron Device Meeting (2003) 107.
[41] K. Yamada : Proc. Inter. Conf. Solid State Devices and Materials (1986)
257.
[42] Handbook of X-ray Photoelectron Spectroscopy (Perkin-Elmer Corporation,
Eden Prairie(1992)) and MultiPak V6.2 (Physical Electronics Inc. 1994-2003).
[43] http://srdata.nist.gov/xps/.
- 132 -
第5章
5-1
キャパシタ絶縁膜の評価
はじめに
1980 年代,わが国の半導体産業は,微細な Dynamic Random Access Memory(DRAM)
を開発・量産する事で膨大な利益を上げ,電子立国とまで言われるほど大きな発展を遂げ
てきた。 最も単純な1素子構造のDRAMは Figure 5-1-1 に示す様に一対のトランジス
タとキャパシタ(コンデンサ)を基本単位にしており,キャパシタの蓄積電極(Storage)に蓄
えられた電荷の有無で情報を記憶する。 メモリデバイスの黎明期より,DRAMの情報(電
荷)蓄積キャパシタの絶縁膜は酸化シリコンで作られており,素子の微細化とともに単位セ
ルあたりの蓄積容量が減少してきたため,薄膜化で蓄積容量を維持し続けてきた。 しかし,
薄膜化に限界が見え始めてきたため,メガビット世代の初期では,絶縁膜材料に窒化シリ
コンと酸化シリコンの複合膜(窒化シリコン膜で誘電率を稼ぎ,酸化シリコンでリーク電流
を抑えていた)を用い,さらには Figure 5-1-2 に示す様に各社各様の立体構造を有するキ
ャ パ シ タ を 採 用 し , 電 極 表 面 に 意 図 的 に 凹 凸 を 形 成 し た り す る こ と (H S G : Hemi
Spherical Grain[1])により,蓄積容量電極の構造を三次元化することで容量を稼いできた。
1Mビット世代が多様化の分岐点で,主に基板上に蓄積電極を形成したスタックセル[2],
基板に掘った溝に蓄積電極を有するトレンチセル[3]に分化し,現時点ではスタックセルが
75%,トレンチセルが 25%程度であると言われている。[4] そして 130nm ノードを迎える
にあたってこれらの材料や構造を用いてさえも十分な蓄積容量値を確保することが困難と
なった。(シリコン酸化膜[ε:3.9],シリコン窒化膜[ε:7])
このためにキャパシタ絶縁
膜として,タンタル酸化膜(Ta2O5)[ε:25]や酸化アルミ(Al2O3)[ε:10]等の高誘電体材料が
導入された。 130 nm ノードでは,下部電極に多結晶(非晶質)シリコン,上部電極に金属材
料を用いた3次元キャパシタセル構造(Metal-Insulator-Silicon:MIS構造)が採用され
ている。 タンタル酸化膜は適度に誘電率が高く非常に扱いやすいように見えたが実際にM
IS構造を形成してみると,熱処理時に多結晶(非晶質)シリコンとの界面にシリコン酸化
膜が形成(シリコンが酸化)されてしまうために実効的な比誘電率が低下し,90 nm 世代以
降では要求を満足できない。このため下部電極にルテニウムや白金などの金属を採用して
MIM(Metal-Insulator-Metal 構造を採用することで,余分な低誘電率層の介在が無くな
るとともにタンタル酸化膜の結晶配向性が向上するため,比誘電率はきわめて高い(ε:
50)[5]ものになる。 このため,90nm 以降の世代ではMIM構造が不可欠であると考えら
れている。 現在,下部電極の酸化耐性を向上し微細構造を形成することを目的として下部
- 133 -
電極材料に白金,ルテニウム,窒化チタン,二酸化ルテニウム,二酸化イリジウムのよう
な金属や金属窒化物・酸化物が検討されている。 また,65nm 世代では,非常に高い誘電
率を有する材料が要求されると考えられているためにこれらの評価技術を早期に立ち上げ
要求に備えなければならない。
これらDRAMやFeRAM(Ferroelectric Random Access Memory)のキャパシタ構造
の進化過程で各プロセスを最適化するためにXPSとAESを中心に評価を行ってきた事
例について抜粋して示す。
- 134 -
Gate
Word Line
MOSFET
Drain
Bit Line
Source
Storage
Cell Plate
Capacitor
Figure 5-1-1 1対のMOSトランジスタと電荷蓄積キャパシタを基本単位としているDRAMの基本構成
図。
(a) 単純スタック構造
(c) シリンダ構造
Capacitor
Capacitor
MOSFET
(b) フィン構造
MOSFET
(d) トレンチ構造
Capacitor
MOSFET
MOSFET
Capacitor
Figure 5-1-2 各種3次元メモリセルの構造図。 (a) 単純スタック構造,(b)フィン構造,(c)シリンダ(クラ
ウン)構造,(d) トレンチ構造。
- 135 -
参考文献
[1] M. Sakao, N. Kasai, T. Ishijima, E. Ikawa, H. Watanabe, K. Terada and T.
Kikkawa : Tech. Dig. Inter. Electron Device Meeting, (1990) 655 ; H. Watanabe,
N. Aoto, S. Adachi, T. Ishijima, E. Ikawa and K. Terada : Ext. Abst. 22nd SSDM,
(1990) 873.
[2] M. Koyanagi, H. Sunami and N. Hashimoto : Proc. 10th Conf. Solid State Device
Tokyo(1978)35, Jpn. J. Appl. Phys. 18(1979) Suppliment.
[3] H. Sunami, T. Kure, N. Hashimoto and S. Asai : Tech. Dig. Inter. Electron Device
Meeting (1982) 806.
[4] 角南英夫 : Nikkei Micro Devices (March 2006)90.
[5] http://strj-jeita.elisasp.net/strj/ITRS04-JP/Roadmap-040407.htm.
- 136 -
5-2
DRAMのキャパシタ絶縁膜(SiN/Si)構造の評価[1]1
窒化シリコンを誘電体に持つキャパシタの製造プロセスを最適化する過程で,キャパシ
タ誘電体膜を成膜する前処理によって容量-電圧(C-V)特性より求めた酸化シリコン換算
膜厚が大きく異なるという事態に直面した。 フッ酸系の処理以外は,自然酸化膜(SiO2)の
存在が酸化シリコン換算膜厚に影響を与えていることを理解するのは容易だが,フッ酸水
溶液に浸漬する場合と無水フッ酸(フッ酸蒸気)で処理した試料の間に予想以上の換算膜厚
差が生じた。 光学的計測では,多層膜の評価は容易ではない(膜質が一定であると考える
と,モデルを構築してそれなりの膜厚を見積もることが可能であるが,どのようなことが
起きているのか判断できない場合は非常に難しい)ために,前処理によって成膜された窒化
シリコン膜にどのような違いがあるのかXPSを用いて評価を行った。
なお無水フッ酸は,無水フッ化水素ガスと水蒸気をシリコン酸化膜表面に供給する事に
より,その表面に薄い凝集層が形成される結果,酸化シリコンがエッチングされ,SiF4 と
H2O が共に気化する事を利用しており,湿式処理に非常に類似しているが,気相反応である
ためにウオーターマークが残らないというメリットがあるいっぽうで,表面での反応のみ
に頼っているために試料表面の有機物等の影響を受けやすい。 無水フッ酸を含むフッ酸系
薬液によるシリコン酸化膜のエッチング反応は以下の反応式で進行すると考えられている。
[2]
4 HF + SiO2 → SiF4 + 2 H 2 O
6 HF + SiO2 → H 2 SiF6 + 2 H 2 O
5-2-1 実験方法
通常,窒化膜キャパシタ誘電体膜に用いる下部電極は不純物をドープして低抵抗化した
多結晶(非晶質)シリコンが用いられているが本実験では,キャパシタ絶縁膜の成膜前処理
によって多結晶シリコン表面がどのように変化しているのかに関する評価を目的としてい
るために多結晶シリコンの代わりにシリコン基板を用いた。 評価実験は,キャパシタ絶縁
膜を成長する前処理直後のシリコン表面の状態をXPSによって評価した後に,実際に同
一バッチでLPCVD法を用いて窒化シリコン(Si3N4)を成膜し,XPS分析及びSIMS
分析を行った。 本実験において使用した試料の作成フローを Figure 5-2-1 に示すととも
に,無水フッ酸で自然酸化膜を剥離する装置の概略図を Figure 5-2-2 に,今回用いた薬液
処理の詳細をまとめたものを Table 5-2-1 に示す。 また評価に用いたXPSとSIMSの
- 137 -
分析条件を Table 5-2-2,5-2-3 に各々示す。
5-2-2 結果と考察
各種成膜前処理を行った後のシリコン表面のXPS分析の結果,いずれの試料からも Si,
O,C が検出され,無水フッ酸に曝した試料表面からのみフッ素が検出された。 Si2p の高
エネルギー分解能スペクトルを Figure 5-3-2 に示すとともに,第 2 章同様に酸化シリコン
と基板成分にピークを分離し,式[2.2.2]を用いてケミカルオキサイド膜厚を求めた。 ま
た各元素に起因するピークを高エネルギー分解能条件で測定した結果を基に,各々のピー
ク面積を算出し,Physical Electronics 社の推奨する感度係数を用いて組成を算出した。 こ
れらをまとめて Table 5-2-4 に示す。 これよりフッ酸系(フッ酸水溶液や無水フッ酸)処理
を行った後の表面には酸化膜が存在していないことがわかる。
各前処理後に同一バッチの熱CVD法を用いて窒化シリコン膜(6nm)を成膜した後の高
エネルギー分解能で Si2p スペクトルを測定し各成分(酸化シリコン・窒化シリコン・基板シ
リコン)にピーク分離した結果を Figure 5-2-4 に示す。 ここでピーク分離は,酸化シリコ
ン膜(100nm)と窒化シリコン膜(20nm)表面の自然酸化膜を希フッ酸浸漬で除去したもの,酸
化シリコン膜(100nm)を希フッ酸浸漬で除去して疎水性を示した水素終端シリコンの Si2p
スペクトル形状を各々(シリコン酸化膜,窒化シリコン膜,基板シリコン)のリファレンス形
状とした。 今回のピーク分離では酸窒化膜(SiON)の存在は考慮に入れなかった。(シリコ
ン酸化膜層と窒化膜層の 2 層でできていると考えた。)
Figure 5-2-4 の Si2p スペクトル
では,酸化膜と窒化膜に起因するピークが重複して明確に分離できていないため,ピーク
分離の正当性を示す(裏づけをとる)ために,アノードから発せられる制動放射(フィラメン
トから ターゲット に向かって加速された電子がターゲットに衝突して急停止する際に発
生)の白色X線で励起された Si-KLL スペクトルを測定した結果を,Figure 5-2-5 に示す。
(この Auger ピークは,運動エネルギーが 1614eV 付近に現れるため,通常の結合エネルギ
ー表示のXPSスペクトルでは-360eV あたりにピークが現れるが,その存在を認識してい
る人は少ない。 しかし通常のスペクトルに比べてはるかにバックグラウンドが小さいとい
うメリットがあり有用と思われる。)
これより定性的ではあるが,Figure 5-2-4 のピー
ク分離が概ね正しく行われていることがわかった。(Auger ピークが化学状態分析の判別に
有用な場合があることについては章末に簡単に記す。)
同一条件で成膜した厚い窒化膜中ではほとんど酸化シリコンに起因するピークが検出さ
- 138 -
れなかったため,Figure 5-2-4 検出されている酸化物に起因するピークは,窒化膜と基板
の界面に存在しているもの(表面には存在していない)と思われるので,今回の測定試料は
SiN/SiO2/Si-sub.の 3 層構造をしていると考えられる(実際は窒化膜の表面もわずかに酸化し
ており,今回の条件で成膜した厚い窒化膜表面の酸化膜厚は 0.2nm であった)。 予想され
る試料構造(基板上に酸化膜がありその上に窒化膜があるという3層モデル)を考慮に入れ
て,Appendix 4 で論じた[Ap.4.1]式を応用する事で各層の膜厚を決定することが可能であ
る。 これより,酸化シリコン及び窒化シリコンの膜厚dSiO2,dSiN は各々以下の式で決定
することができる。
⎡
⎤
I
d SiO 2 = 2.90 ⋅ sin θ ⋅ ln ⎢1.05 ⋅ SiO 2 +1⎥
I Si
⎣
⎦
[5-2.1]
⎡ I
d SiN = λSiN ⋅ sin⋅ ln ⎢ R ⋅ SiN
⎢⎣ I SiO 2
[5-2.2]
⎛
⎧ − dSiO2 ⎫ ⎞ ⎤
⋅ ⎜⎜1 − exp⎨
⎬ ⎟⎟ + 1⎥
⎩ λSiN × sin θ ⎭ ⎠ ⎥⎦
⎝
[5-2.1]式は,J. M. Hill の式そのものであり,基板中で発生した Si2p 光電子と酸化シ
リコン中で発生した Si2p 光電子の運動エネルギーが概ね等しいため表層にある窒化シリ
コン中でエネルギー損失を受ける確率が等しいことに基づいている。 [5-2.2]式は,薄い酸
化シリコン中で発生した光電子が薄い窒化シリコン中でエネルギー損失を受ける確率から
導き出されている。 ここでRは酸化シリコンと窒化シリコンの系に依存する値であり,λ
SiN
は窒化膜中での光電子(Si2p)の平均自由行程であり,ISi,ISiO2, ISiN は各々Si2p スペク
トル中の各成分に占める面積強度に相当している。 なおRはあらかじめ光電子の脱出深さ
より十分厚い窒化シリコン膜(20nm)と酸化シリコン膜(100nm)を同一条件で測定し,その強
度比から実験的にR=0.92 と決定した。 また,λSiN は今回の試料の中で前処理に塩酸過
水を施した後に窒化シリコン膜を堆積した試料の膜厚が所望の膜厚(所望の膜厚とは,今回
の成膜条件と同じ条件で厚い窒化シリコン膜を堆積し,その膜厚を光学測定(エリプソメー
タ)で決定し,成膜時間に対して膜厚が比例関係にあると考えて換算した結果である。)に
なるように調整した結果 λSiN=4.13nm が得られた。(若干大きすぎる感じである。) これ
らを用いて,Figure 5-2-4 を Si,SiN,SiO2 の 3 つの成分にピーク分離を行って各成分の
面積強度を求めて膜厚を算出した結果と窒化膜堆積後の表面組成を合わせて Table 5-2-5
に示す。これらより,界面の酸化膜厚に前処理依存性があるとともに試料間で窒化膜厚が
大きく異なっていることが判る。これらより,
1) フッ酸系の処理(特に無水フッ酸処理)を行うと界面の酸化シリコン層が薄くなる。
- 139 -
(シリコン表面が水素で終端されているので大気中とLPCVD窒化膜の成長前の昇
温時に自然酸化されづらいと考えると理解できる。)
2) フッ酸系の処理(特に無水フッ酸処理)を行うと窒化シリコン膜の成長が抑制される。
無水フッ酸処理後に窒化シリコン膜を成膜した試料の窒化シリコン膜厚は塩酸過水処
理後に窒化シリコンを成膜したものの半分程度である。
各試料を構成する各成分の深さ方向分布を評価するためにSIMS分析を行った結果を
Figure 5-2-6 に示す。 これらより,以下の結果が得られた。
3) 各層の膜厚に関しては概ね Table 5-2-4 の傾向を再現している。
4) 無水フッ酸処理を行った試料中には,多くのフッ素が含まれている。d-HF dip.処理
試料に比べて塩酸過水処理試料中の方が多くのフッ素を含有している理由は不明。(L
PCVD窒化膜成膜装置内でのウエハ配置に起因している(無水フッ酸処理試料の下
流にあった)可能性もあり,SIMS測定の順番に起因している(無水フッ酸前処理試
料の測定で真空中に多くの窒素が飛び出している)可能性も考えられるが原因は不
明。)
また,各試料表面に電極を付け,キャパシタ容量-電圧(C-V)特性から酸化シリコン換
算の膜厚を算出した結果と Table 5-2-5 に示すXPSによって求めた各種絶縁膜に対して
ε SiN=7.0,ε SiO2=3.9 をもとにして熱酸化膜換算膜厚を算出し多結果を比較したものを
Table 5-2-6 に示す。 これより,XPSによって求められた結果と同様の膜厚傾向を示し
ていることがわかる。
これまで述べてきたXPS及びSIMS分析の結果より,LPCVD窒化シリコン膜を
成長する際に,シリコン表面が水素やフッ素で終端されている場合は,成長初期過程で成
膜遅延時間が存在しているものと思われる。 フッ酸系処理後のシリコン表面は,Si-H 結
合 と Si-F 結 合 で 表 面 が 覆 わ れ て お り , そ の 結 合 エ ネ ル ギ ー は 各 々 76kcal/mol[4] と
142kcal/mol[4]である。 いっぽう,塩酸過水処理表面には Si-O 結合や Si-OH 結合があり
[3],各々の結合エネルギーは,150kcal/mol[4],30kcal/mol[4]である。(Table 5-2-7 に
各結合の解離エネルギーをまとめて記す。) フッ酸系の処理後のシリコン表面に窒化物が
成膜されるためには,シリコン表面を終端している水素や,フッ素とシリコンの結合を切
断する必要があるため,成膜初期過程で上記結合解離エネルギーが必要となる。 つまり,
フッ酸系の処理表面に窒化膜を成長する過程では,まず表面を終端している水素やフッ素
を脱離するプロセスがあり,その後に成膜ガス種の堆積が開始されるものと思われる。 表
- 140 -
面に多くのフッ素が存在する,無水フッ酸処理では,Si-F 結合が Si-H 結合に比べて解離
エネルギーが極めて大きいために成膜初期の脱離に時間がかかるために,成膜遅延あり結
果的に窒化膜厚が薄くなったものと考えられる。これに対して塩酸過水処理で形成された
ケミカル酸化膜中に含まれる Si-OH 結合(SiO と H の結合)はこれらに比べてはるかに結合
エネルギーが小さいために,比較的容易に水素が脱離し,未結合手が露出するため,成膜
ガス種と基板との反応がスムーズに起きると考えられるために窒化膜の成長が順調に行わ
れたものと思われる。
5-2-3 まとめ
DRAMのキャパシタ絶縁膜として使われてきた窒化シリコン膜の成膜前処理依存性に
関して評価を行った。 Appendix に示した[Ap.4.1] 式を用いて基板上に酸化シリコン膜,
窒化シリコン膜と順次積層された 2 層モデルを想定する事によって各層の膜厚を求めたと
ころ,成膜前処理の違いによって界面の酸化膜厚が異なるばかりでなく,LPCVDで成
膜する窒化シリコン膜厚にさえも大きく影響が出ていることが判った。 つまり,シリコン
表面にフッ素や水素が存在しているフッ酸系の処理を行うと界面の酸化膜厚を薄くできる
ばかりでなく,窒化シリコン膜成長が抑制される(インキュベーションタイムが存在する)
ことがわかった。 窒化シリコンの成長抑制効果は表面にフッ素が多く残存すると考えられ
る処理ほど顕著で,LPCVDによる窒化膜の成長はシリコン表面の水素やフッ素が脱離
して未結合手が露出した後に成長が始まるものと考えている。
通常のXPS測定で化学状態の判定に用いる Si2p スペクトルでは,酸化膜と窒化膜を明
確に分離することができないが,制動放射のX線で励起される Auger 電子(Si-KLL)スペク
トルを用いる事で両者を明確に異なるピークとして抽出することができ,Si2p のピーク分
離の正当性を裏付けることができた。 (Si2p では酸化によるケミカルシフトは約 4eV であ
るのに対して Si-KLL では約 6eV ものシフトが観測された。) 通常(モノクロメータではな
い)のXPSでは,結合エネルギーがマイナスの領域に現れる制動放射X線で励起された
Auger 電子は,光電子スペクトルに比べてピーク強度が小さいにもかかわらず,他のピー
クのエネルギー損失に起因するバックグラウンドが極めて低いため,化学状態の推定に際
して非常に有用な情報を得ることができる。 今後は,負の結合エネルギー領域に現れる
Auger 電子に着目する事によって化学状態分析装置としてのXPSの有用性をさらに向上
させることができるものと思われる。
- 141 -
p-Si(100) 10~20 ohm・cm
Initial cleaning
①NH4OH/H2O2/H2O
②H2SO4/H2O2
③HNO3
Pre treatment
#1 HF/H2O
#2 HF vapor
#3 HF/H2O + HCl/H2O2/H2O
LPCVD SiN
SiHCl3+NH4 (675℃)
Evaluation 1
XPS
Evaluation 2
XPS, SIMS, C-V curve
Figure 5-2-1 窒化膜キャパシタ成膜前処理がキャパシタ誘電体膜に与える影響を調査するための試
料作成フロー 。
Table 5-2-1 本実験に用いた各種薬液の詳細条件。
Treatment Composition Temp. (℃)/Time(sec.)
1 NH4OH
H2O2
85±5/600
1 NH4OH
1
2 H2SO4
3 HNO3
4 HF dip.
5
H2O
20
H2SO4
1
H2O2
1
HNO3
80±5/300
1
HF
H2O
Room Temp.
50
110±5/300
5 HF vapor
1
6 HCl
1
HCl
H2O2
6
H2O
- 142 -
80±5/300
wafer
HF gas
(85 cc/min.)
exhaust
H2O
N2
[2ℓ /min.]
N2
[6ℓ /min.]
N2
[0.8 ℓ/min.]
Figure 5-2-2 無水フッ酸による自然酸化膜剥離装置の構成図 。
Table 5-2-2 XPS測定条件。
XPS
Probe
Take off Angle
Analysis area
Energy resolution
Physical Electronics Inc. Model5400MC
Mg-kalpha (15kV, 26.6mA)
30 deg.(Si surface), 90deg.(SiN)
1.1mmφ
0.85eV (FWHM of Ag4d5/2)
The energy scale of the spectrometer was corrected using cupper
(Cu2p3/2=932.67 eV, Cu3p=75.13 eV)
Table 5-2-3 SIMS測定条件。
SIMS
Probe
Incidence Angle
Physical Electronics Inc. Model6600
Cs (3kV)
60 deg. from surface normal
- 143 -
N(E)/E
(a) d-HF
SiO2
96
Si
100
96
N(E)/E
108
104
(b) HF vapor
Si
100
SiO2
N(E)/E
108
(c) HCl
108
104
SiO2
Si
104
100
Binding energy [eV]
96
Figure 5-2-3 各種前処理直後のSi2p高エネルギー分解能スペクトル 。
Table 5-2-4 XPSを用いて前処理直後のシリコン表面組成を求めた結果と自然酸化膜を算出した結果。
HF dip.
HF vapor
HCl
Atomic concentration (%)
Si
O
C
F
85.6
6.9
7.5
N.D.
81.8
8.4
7.1
2.8
56.4
32.3
11.3
N.D.
- 144 -
dSiO2
[nm]
0.02
0.02
0.3
N(E)/E
(a) d-HF
SiN
SiO2
N(E)/E
108
104
(b) HF vapor
Si
96
100
SiN
SiO2
Si
108
104
96
100
N(E)/E
(c) HCl
108
SiN
SiO2
Si
104
100
Binding energy [eV]
96
Figure 5-2-4 同一バッチで薄いSiNを堆積した後のSi2p高エネルギー分解能スペクトル。
Table 5-2-5 XPSを用いて各種前処理の後に薄い窒化膜を堆積した後の表面組成を求めた結果と窒
化膜厚,界面酸化膜厚を算出した結果。
Atomic concentration (%)
Si
O
C
17.8
72.0
10.2
HF dip.
60.9
11.6
HF vapor 27.5
13.0
76.2
10.8
HCl
- 145 -
dSiO2
[nm]
1.36
1.06
1.82
dSiN
[nm]
5.12
3.76
5.74
(a) d-HF
N(E)/E
Si
SiO2
SiN
SiH
N(E)/E
1598.6
1600.6
(b) HF vapor
1618.6
SiO2
SiN SiH
Si
1600.6
1598.6
1618.6
N(E)/E
(c) HCl
Si
SiO2
SiN
SiH
1600.6
Kinetic energy [eV]
1598.6
1618.6
Figure 5-2-5 同一バッチで薄いSiNを堆積した後のSi-KLL高エネルギー分解能スペクトル 。(アノー
ドからの制動放射X線で励起)
SiN
Counts
F
104
SiN
103
0
SiO
106
SiN
Si
105
(c) HCl/H2O2
106
O
10
Time [min]
Counts
106
(b) HF vapor
SiN
Si
105
105
F
F
104
104
SiN
103
Si
Counts
(a) d-HF
0
SiO
O
10
Time [min]
103
0
SiO
10
Time [min]
Figure 5-2-6 同一バッチで薄いSiNを堆積した試料のSIMSによる深さ方向分析。
- 146 -
O
SiN
Table 5-2-6 C-V特性を用いて電気的に求めた各種絶縁膜の熱酸化膜換算膜厚とXPSによって求め
た各種絶縁膜の熱酸化膜換算膜厚の比較。
HF dip.
HF vapor
HCl
dC-V
[nm]
5.60
5.25
6.08
dXPS
[nm]
4.21
3.15
5.02
Table 5-2-7 各種結合の解離エネルギー。[4]
結 合
結合解離エネル
ギー [kJ/mol]
Si-H (SiH4)
Si-Cl (SiCl4)
Si-O (SiO)
Si-F (SiF4)
O-H
SiOH→SiO+H
76
95
105
142
100
30
http://www.asahi-net.or.jp/~up5s-andu/SUISHO/06_henkei.htm
- 147 -
参考文献
[1] Makoto Nakamura, Yoshio Kikuchi, Masahiro Kuwamura and Masamichi Yoshida :
Mat. Res. Soc. Symp. Proc., 284 (1993) 153 ; 中村誠:J. Surf. Anal., 2 (1996)
211.
[2] C. C. Mai : SCP and Solid State Technology, 1 (1966) 19.
[3] H. Ogawa and T. Hattori : IEICE Trans. Electron., E75-C (1992) 774.
[4] http://www.asahi-net.or.jp/~up5s-andu/SUISHO/06_henkei.htm.
- 148 -
5-3
DRAMのキャパシタ絶縁膜(Ta2O5/Ru)構造の評価[1]2
メモリの高集積化に伴いシリコン酸化膜[ε:3.9]やシリコン窒化膜[ε:7]では,十分な
容量を確保できなくなってきたため誘電率の高いタンタル酸化膜(Ta2O3)[ε:25]を誘電体
として採用することを検討した。 タンタル酸化膜を用いたキャパシタを適用するデバイス
構造は Figure 5-3-1, 5-3-2 に示すようにアスペクト比の大きなシリンダー構造と言われ
ている構造[2]である。 金属酸化物をキャパシタ誘電体膜として採用するにあたり,これ
まで使用してきた多結晶(非晶質)シリコンを下部電極として使うことができなくなる。 な
ぜなら,金属酸化物である誘電体膜を成膜するCVDプロセスは必然的に酸化性の雰囲気
であり,このような雰囲気内にさらされた多結晶(非晶質)シリコン表面はたちどころに酸
化するため,結果的に誘電率の小さなシリコン酸化膜の上に高誘電体膜を付ける事に相当
するため高誘電率材料を採用する意味が無くなる。 このため,酸化性雰囲気中で酸化しな
い(耐酸化性のある)導電性物質を下部電極として採用しなければならない。 つまり,電極
は導電性のある金属酸化物か,酸化しない金属(たとえば貴金属や窒化物)を用いる他はな
く,さらにDRAMの電極として採用するためには,微細加工(ドライエッチング)が可能
で十分な耐熱性を有する材料でなければならない。
われわれは,電極の候補として窒化チタン,窒化タングステン,ルテニウムの採用を検
討し,それらの表面にタンタル酸化膜を同一条件で成膜して容量-電圧(C-V)特性によっ
て酸化シリコン換算膜厚を評価した結果,Figure 5-3-3 に示すようにルテニウム表面に成
膜する場合が最も酸化シリコン換算膜厚が小さいという結果を得た。 この結果より,酸化
物になっても導電性を有し,酸化性雰囲気でも酸化しづらい(酸化しても導電性を有する)
ルテニウム系の材料(Ru または RuOx)を下部電極の候補として選択した。 ルテニウム系の
材料を下部電極として採用するにあたり各種分析法を用いてタンタル酸化膜とルテニウム
系の材料の物性評価を行った。
5-3-1 実験方法
タンタル酸化膜とルテニウム系電極材料の物性評価の一環として,XPSを用いた評
価を試みた。 XPS分析を用いる事でタンタル酸化膜とルテニウム系電極材料間で起き
る化学状態の変化を捉えることができるほか,両者間のバンドダイアグラムを作成する
ことができるためである。 評価実験は,3種類の下部電極上に成膜したタンタル酸化膜
構造でどのような違いがあるのかを評価するものでルテニウム,ルテニウム酸化膜,タ
- 149 -
ンタル酸化膜を成膜する直前にルテニウム表面を改質したもの(Ru-Prt)の上にタンタル
酸化膜を成膜した試料を準備した。(Sample1~Sample3) なお,ルテニウム及びタンタル
酸化膜は,Ru(C2H5C5H4)2 と Ta(OC2H5)5 を各々用いたMOCVD法で成膜した。 試料作成
プロセスおよびXPS分析箇所を Figure 5-3-4 に示すとともに試料の断面構造を Figure
5-3-4 に示す。 各種材料単体を用いて標準XPSスペクトルとするために下部電極のル
テニウム[50nm]を成膜したもの(Ref.1),Ref.1 のルテニウムを酸化したもの(Ref.2),
Ref.1 の上に厚いタンタル酸化膜[20nm]を成膜したもの(Ref.3)を準備した。 また今回
使用したXPS分析条件(ただし高エネルギー分解能測定条件)を Table 5-3-1 に示す。
XPS分析に使用した試料は,可能な限り帯電の効果を抑制するために,ウエハを劈開
して切り出した直後に Figure 5-3-6 に示す様にインジウム薄膜(表面の酸化層を物理的
に除去したもの)で包んだ後に,試料ホルダにビス固定した。ここで,測定の前に Cu2p3/2
(932.67eV)と Cu3p (75.14eV)を用いて分光器のエネルギー校正を行い,タンタル酸化膜
に起因すると思われる Ta4f7/2 が 26.70eV[3]になるようにわずかな帯電補正を行った。
(NISTのデータベース[4]では,26.0~27.0eV)
5-3-2 結果と考察
各試料のXPS高エネルギー分機能スペクトルを,Figure 5-3-7 に示す。 ここで(a)
は価電子帯スペクトル領域,(b)は Ru3d のスペクトル領域,(c)は O1s のスペクトル領域,
(d)は Ta4f のスペクトル領域を各々示している。 これらより,以下の情報が読み取れる。
(1) 価電子帯スペクトル(a)および O1s スペクトル(c)より,Sample1 のスペクトルは,
Ref.1(TaxOy)と Ref.3(RuOz)が合わさったもの,Sample2 のスペクトルは Ref.1(TaxOy),
Ref.2(Ru),Ref.3(RuOz)が合わさったもの,Sample3 のスペクトルは,Ref.1(TaxOy)と
Ref.2(Ru)が合わさったものに相当していると考えられる。
(2) Ru3d スペクトル(b)より,Sample1 のスペクトルは Ref.3(RuOz)と一致しており,
Sample2 のスペクトルは Ref.2(Ru)と Ref.3(RuOz)が合わさったもの,Sample3 のスペ
クトルは, Ref.2(Ru)と一致していると考えられる。
(3) Ta4f スペクトル(d)には試料間で大きな違いが見られない。
タンタル酸化膜の化学状態の違いを詳細に比較するために Ta4f,O1s スペクトルを重
ね合わせて表示したものを,Figure 5-3-8 に示す。 これより Ref.1 の厚膜に比べて
Ta4f(5/2 と 7/2 の間)の切れ込みが悪くピークが若干ブロードになっているとともに,
- 150 -
O1s でも若干ブロード化が観察されるものの試料間でピーク形状に大きな違いは認めら
れないことが判った。 Ta4f の低エネルギー側へのテーリングと切れ込みの減少は,ル
テニウムによってタンタル酸化膜がわずか還元された可能性がある。 また O1s の低エネ
ルギー側のテーリングは,タンタル酸化物起因の主ピークにルテニウムの酸化物に起因
するピークが重複したものと考えられる。
XPSで観察されたタンタル酸化膜成膜前のルテニウム表面処理の有無に起因する化
学状態の違いは,Figure 5-3-9 の様に断面TEM観察でも検出されている。 ここでは,
Sample2(TaxOy/Ru)と Sample3(TaxOy/Ru-Prt)の断面TEM観察結果を示している。 これ
より表面処理を行わない場合,タンタル酸化膜とルテニウムの界面領域にルテニウムと
は異なる結晶層が観察されている。 TEMの観察時にこの結晶相からの電子線回折パタ
ンを取っていなかったが,XPSの結果と照らし合わせることによりルテニウム酸化物
(TEMの結果だけで
(RuO2)に起因する結晶層が形成されていると考えることができる。
も,この結晶層が酸化タンタルである考えると,酸化タンタル膜厚が厚くなっていると
考えざるをえないが,ルテニウム酸化物であると考えると,酸化タンタル膜厚が変化し
ていないと考えることができる。) 実際,タンタル酸化物とルテニウム酸化物の標準生
成エンタルピー(⊿Hf)は各々-2046kJ/mol,-305kJ/mol[5]であり,ルテニウムがタンタ
ル酸化膜を還元してルテニウム酸化膜が形成されたとは考えにくいため,ルテニウム酸
化膜は,酸化タンタルの成膜時の酸化性雰囲気または,ルテニウム成膜直後に形成され
たものと考えるほかない。 また,ルテニウムを成膜した後にタンタル酸化膜の成膜前処
理を施した試料(Sample3)では,ルテニウム酸化物に起因するピークや界面の結晶層が観
察されていないことからルテニウム表面処理によって表面の耐酸化性が向上することが
わかった。 実際に電極として使用して行くためには,その抵抗が小さいことが望ましく,
ルテニウム及びルテニウム酸化膜の比抵抗は各々7.6×10-6 Ω・cm(0℃),3.5×10-5 Ω・
cm(300K)[5]であり,酸化によって,比抵抗はおよそ 5 倍大きくなるため,キャパシタ特
性が良好であるなら,界面にルテニウム酸化物がないほうが良いと考えられる。 高エネ
ルギー分解能スペクトルを見比べる事によって明らかになった試料間の違いを Table
5-3-2 にまとめて示す。
タンタル酸化膜と各下地電極の間のバンドダイアグラムを作成するために第 2 章で解
説した Miyazaki らの方法を適用した。 具体的には O1s スペクトルのピーク位置とその
エネルギー損失開始位置までのエネルギー差からバンドギャップを求め,価電子帯スペ
- 151 -
クトルを基板成分と絶縁膜成分に分離することによってバンドオフセットを求めた。 各
試料の O1s スペクトルとエネルギー損失開始領域を拡大表示したものを Figure 5-3-10
に示す。 ここでは,生データに Savitzky-Golay の 7 点スムージングを行っている。 ま
た,各試料の価電子帯スペクトルを Figure 5-3-11 に示すとともに各標準スペクトル
(Ref.1(TaxOy),Ref.2(Ru),Ref.3(RuOz))の価電子帯スペクトルを用いてピークフィッテ
ィングを行った結果と,フィッティング結果を元に下地金属とタンタル酸化物の価電子
帯スペクトルの立ち上がり位置のエネルギー差からバンドオフセットを求めた結果を記
している。 なお,価電子帯スペクトル内のフィッティングはEXCELのツールタブの
中にあるソルバーを用い,強度及びエネルギー軸を任意に動かして最小二乗法で生デー
タとフィッティング結果が一致するように計算を行った。(通常のXPS装置に標準で付
随するソフトウエアでは,複雑な形状のピークフィッティングができないため。)
これらを用いて各試料のバンドダイアグラムを作成した結果を Figure 5-3-12 に示す。
これより,バンドオフセット量は下地が変わっても変化しないいっぽうで,タンタル酸
化物のバンドギャップは下部電極表面の酸化が進行しているほど小さくなる傾向がある
ことがわかった。 つまり,下部電極表面が酸化しているほど電子に対してのエネルギー
障壁が小さくなるためタンタル酸化膜を介して上部電極に向かって電子がリークしやす
くなると考えられる。
(nMOSトランジスタにつながるキャパシタでは電子が容量に蓄
えられているため。) 下地の違いがバンドオフセットではなくバンドギャップに影響が
現れる理由は,現時点では不明である。
5-3-3 まとめ
XPSを用いてDRAMのキャパシタ絶縁膜構造(Ta2O5/Ru(RuO2))の物性評価を行っ
た。 この結果,ルテニウム上にタンタル酸化膜を成膜すると界面にルテニウム酸化物
(RuO2)が形成されることがわかった。 このとき形成されるルテニウム酸化物(RuO2)は,
断面TEMで結晶相として検出されたものに相当していると考えられる。 この酸化層は
タンタル酸化膜成膜前にルテニウム表面処理の最適化で形成を抑制できることがわかっ
た。 またXPSスペクルからバンドギャップとバンドオフセットを求めタンタル酸化膜
とルテニウム系電極の間のバンドダイアグラムの作成に成功した。 この結果,下部電極
の違いは誘電体膜と電極間のバンドギャップに少なからず影響を与える(ルテニウムの
酸化が多いほどタンタル酸化膜のバンドギャップが小さくなる)が,バンドオフセットに
- 152 -
は影響を与えないことがわかった。 何故,ルテニウムの酸化状態がタンタル酸化膜のバ
ンドギャップに影響を与えるのかについては現時点では不明である。
DRAMのキャパシタ材料は,多層配線(バックエンド)プロセスでのプラズマや各種
還元性の雰囲気に間接的に曝される。これらの環境が酸化物でできている高誘電体膜を
劣化しないようなプロセスインテグレーションを行うとともにそれらのプロセスを評価
する手法が必要となる。 また本実験では平坦な試料に対して評価を行ったが実際には高
アスペクト比を有する電極の側壁と平坦部及びそれらの交点で起きている現象が同じで
ある保証もない。 下部電極をスパッタリング法で形成する時に平坦部と側壁部で配向性
が異なっていると考えるのは自然であり,その違いが誘電体膜質やキャパシタ特性に影
響を与えると考えられるために更なる評価が必要と思われる。
第 2 章にてバンドギャップの小さな試料や O1s スペクトルに複数のピークで構成され
ている場合には,弾性散乱電子のエネルギー損失スペクトルを用いる事の有効性を示し
た。本実験における Ta2O5 のバンドギャップは第 4 章の Figure 4-4 に示すように約 4.4eV
で,決して大きくない上に,O1s スペクトルも Ta2O5 に起因するものと RuO2 に起因する物
で構成されている(幸運にも誘電体である Ta2O5 に起因する成分が高結合エネルギー側に
あったために結果に大きな影響は無いと考えている。)ため,まさに弾性散乱スペクトル
のエネルギー損失を用いて評価すべき試料であると考えている。 しかし,本実験を行っ
た際にはそのエネルギー損失領域に他のピークがなかったために弾性散乱電子のエネル
ギー損失スペクトルを利用することに気づいていなかった。 第 2 章に示した手順を適用
することによって,より高精度な評価が可能になるものと考えている。
- 153 -
Figure 5-3-1 DRAMのキャパシタの製造工程を示す模式図。[2]
Figure 5-3-2 DRAMのキャパシタの製造工程で実際に作成されたキャパシタのSEM写真。[2]
SiO2 equivalent Thickness [nm]
3
TiN
WN
Ru
2
1
0
5
10 15
20
25 30
Ta2O5 Thickness [nm]
Figure 5-3-3 Ta2O5と各種電極の相性調査結果。
- 154 -
Si (100)
Ti [10nm]
TiN [30nm]
Ru (Ref.2)
Ru [50nm]
oxidation
RuOz (Ref.3)
TaXOY [5nm]
TaxOy/RuOz (Sample1)
TaxOy /Ru (Sample2)
(Ref.1)
TaxOy /Ru (Sample3)
TaxOy [50nm]
Surface controlled Ru
Figure 5-3-4 試料作成手順と評価抜き取り箇所。
5nm
TaxOy
50nm
Ru(RuOz)
TiN
10nm
30nm
Ti
Si(100)
Figure 5-3-5 評価試料の断面構造。
Table 5-3-1 XPS測定条件。
XPS
Probe
Take off Angle
Physical Electronics Inc. Model5400MC
Al-kα[monochro]-600W[15kV, 40mA]
60 deg.
Analysis Area
1.1 mmφ
Acceptance Angle
±5°
Pass Energy
17.9 eV [FWHM : 0.62eV(Ag3d52)]
Acquisition Energy Step 0.05 eV/step
Base Pressure
<9.0E-9 Torr
- 155 -
(a)
(b)
Indium foil
Indium foil
Screw
Sample
Sample
Sample Holder
Sample Holder
Figure 5-3-6 XPS試料取り付け方法 (a)試料ホルダに試料を固定した写真 (b)試料取り付け方を示
す模式図。
(a) Valence band
(b) Ru3d(5/2, 3/2)
(c) O 1s
Fermi edge
Ta2O5 RuO2
Sample 1 (TaxOy/RuOz)
Sample 1 (TaxOy/RuOz)
Sample 2 (TaxOy/Ru)
Sample 2 (TaxOy/Ru)
Sample 3 (TaxOy/Ru-Prt)
Sample 3 (TaxOy/Ru-Prt)
Ref.1 (TaxOy)
Ref.1 (TaxOy)
Ref.2 (Ru)
Ref.2 (Ru)
Ref.3 (RuOz)
Ref.3 (RuOz)
(d) Ta 4f(7/2, 5/2)
RuO2
RuO2
Ru
Ru
Ta2O5
Sample 1 (TaxOy/RuOz)
Sample 1 (TaxOy/RuOz)
Sample 2 (TaxOy/Ru)
Sample 2 (TaxOy/Ru)
Sample 3 (TaxOy/Ru-Prt)
Sample 3 (TaxOy/Ru-Prt)
Ref.1 (TaxOy)
Ref.2 (Ru)
Ref.3 (RuOz)
Figure 5-3-7 高エネルギー分解能スペクトル (a)価電子帯スペクトル, (b) Ru3dスペクトル, (c) O1s
スペクトル (d) Ta4fスペクトル。
- 156 -
1.0
N or m a liz e d in te n s ity [ a. u .]
(b) O 1s
Sample1
Sample2
Sample3
Ref.1
0.8
1.0
Reduced peak
or
Distortion effect peak
0.6
0.4
0.2
0.0
32
30
28
26
Binding energy [eV]
24
N or m aliz e d in te n sity [a . u.]
(a) Ta 4f
Sample1
Sample2
Sample3
Ref.1
0.8
0.6
Reduced peak
or
RuOz ?
0.4
0.2
0.0
TaxOy
534
532
530
Binding energy [eV]
Figure 5-3-8 高エネルギー分解能スペクトル (a)Ta4fスペクトル, (b) O1sスペクトル。
Table 5-3-2 XPS高エネルギー分解能スペクトルのまとめ。
Ru3d
Sample 1 (TaxOy/RuOz)
Sample 2 (TaxOy/Ru)
Sample 3 (TaxOy/Ru-Prt)
O1s
RuOz
○
Ru
×
TaxOy
○
RuOz
○
○
○
○
○
×
○
○
×
(a) Sample 2 (TaxOy/Ru)
(b) Sample 3 (TaxOy/Ru-Prt)
Ru
crystal phase
TaxOy
Ru
5nm
Figure 5-3-9 断面TEM観察結果 (a) Sample2(TaxOy/Ru), (b) Sample3(TaxOy/Ru-Prt)
- 157 -
5nm
528
Figure 5-3-10 各試料のO1sスペクトルのエネルギースペクトルよりバンドギャップに関する情報を抽
出。(a) Ref.1, (b) Saple1(TaxOy/RuOz), (c) Sample2(TaxOy/Ru), (d) Sample3(TaxOy/Ru-Prt)。
Figure 5-3-11 標準試料の荷電子スペクトルを用いてフィッティングし,バンドオフセットを導出。 (a)
Saple1(TaxOy/RuOz), (b) Sample2(TaxOy/Ru), (c) Sample3(TaxOy/Ru-Prt)。
- 158 -
Figure 5-3-12 O1sスペクトルからバンドギャップを求め,荷電子スペクトルからバンドオフセットを導出
して各試料のバンドダイアグラムを作成。 (a) Saple1(TaxOy/RuOz), (b) Sample2(TaxOy/Ru),
(c) Sample3(TaxOy/Ru-Prt)。
- 159 -
参考文献
[1] Makoto Nakamura, Masaaki Nakabayashi : J. Surf. Anal., 9 (2002)424.
[2] Y. Fukuzumi, S. Suzuki, A. Sato, Y. Ishibashi, A. Hatada, K. Nakamura, K. Tsunoda,
M. Fukuda, J. Lin, M. Nakabayashi, H. Minakata, A. Shimada, T. Kurahashi, H.
Tomita, D. Matsunaga, K. Hideda, K. Hashimoto, S. Nakamura and Y. Kohiyama :
IEDM Tech. Dig., (2000)793.
[3] Physical Electronics Inc. MultiPak V6.2 (2003).
[4] http://srdata.nist.gov/xps.
[5] 日本化学会編,改訂 3 版
化学便覧
基礎編Ⅱ(丸善).
- 160 -
5-4
FeRAMのキャパシタ絶縁膜(PZT/Ti/Pt)構造の評価[1]
FeRAM(強誘電体メモリ)はFRAM(これはラムトロン社の登録商標)とも呼ばれて
おり,Figure 5-4-1 に示すようにDRAMのキャパシタ部を強誘電体の蓄積素子で置き換
えたものである。(違いは,プレートラインがフローテフィング電位(DRAMは,アース
電位)である事。) 強誘電体は古くから知られている材料であり,水素結語型強誘電体のK
DP族(燐酸二水素カリウムなど),TGS(Tri-grycine sulfate :硫酸トリグリシン)族,
ぺロブスカイト族(チタン酸バリウム,チタン酸鉛),イルメナイト族(ニオブ酸リチウム,
タンタル酸リチウム)があり, 工業的には電気・電子回路の容量素子部品として利用され
てきた。 強誘電体は,電圧を印加することによって物質内の自発分極(物質内に電気的な
正負が生じる状態)の方向を自由に変化させることができ,電圧印加をやめてもその分極方
向を持続させることのできる誘電体(分極により電荷を蓄えることができる絶縁物質)のこ
とである。
Figure 5-4-2(a)は,チタン酸ジルコン酸鉛(PZT)の結晶構造を示してお
り,電界を印加することで“Zr/Ti”の原子位置が上下し,(b)に示す「0」または「1」の
情報として蓄積記憶する。
FeRAMは,フラッシュメモリの 10 倍以上に及ぶ高速な読み書きが可能である上に,
電源を切断しても記憶したデータを保持する不揮発性の記憶が可能なメモリであり(強誘
電体メモリをパソコンのDRAMの代わりに用いることにより,電源が遮断されても記憶
情報が保存されるため,例えば急に新たに通電することで停電前の作業を継続できる。),
さらに低電圧でも動作可能で,書き換え可能回数がフラッシュメモリやEEPROM
(Electronically Erasable and Programmable Read Only Memory)に比べて数桁以上大きく,
高信頼性で高集積化が可能という特徴がある。(各種メモリの特徴を比較したものを
Table5-4-1[2]に示す。) このためフラッシュメモリのように単にプログラムを格納するだ
けでなく,RAMのようにデータ書き込み領域としても利用できるうえに,不揮発性なの
でデータを保持するための電力供給が不要であるため,これからの小型マルチメディア機
器や低消費電力モバイル機器,ICカードなどへの応用が期待されている。 また,CMO
Sプロセスとの適合性の良さから単にメモリとしての利用にとどまらず混載デバイスに最
適(CMOSプロセスでトランジスタを作成した後で配線工程前に強誘電体プロセスを追
加するだけで混載が可能)であるとも言われている。[3]
これらの理想的な特性から,M
RAM(Magnetoresistive Random Access Memory)などと並んで究極のメモリなどと呼ばれ
ることもある。
- 161 -
強誘電体材料は,FeRAMデバイスの最も重要な特性を決めるものであり,現時点で
はPZT[Pb(Zr,Ti)O3] と SBT[SrBi2Ta2O9]が主に検討されている。(その他の材料とし
てBLT[(Bi,La)4Ti3O12]が検討されている。) 最初に量産されたPZTに比べて,白金上
に成膜されたSBTはエンデュランス(読み書きの繰り返しに対する耐性)が優れており,
低電圧動作に向きであり,鉛を含まない材料であるために環境に優しいなどの特徴があり,
大変期待されていたが,単位面積あたりのスイッチング電荷 QSW が小さいために微細化を進
めた時に最小スイッチング電荷を確保するのが難しくなる事と,膜形成以降のプロセスに
よって膜質が劣化してしまう事が問題である。
われわれは,比較的成膜特性が安定していて大きな残留分極が得られるPZTを蓄積素
子とするFeRAMを作成する過程で,PZTを成膜するスパッタターゲット(組成)の違
いで大きくその強誘電特性が異なるという事態に直面した。 PZTは,ジルコニウムとチ
タンの配合比率を変化させることにより,比誘電率,残留分極,キュリー(相転移)温度な
どの値が変化する。 PZTのジルコニウムとチタンの比に対する相図を Figure 5-4-3[4]
に示す。これよりチタン濃度が 48%付近に相境界があり,ジルコニウムが多い場合は正方
晶,チタンが多い場合では菱面体晶系になることがわかる。 また,比誘電率は,相境界で
極大値(1000 近い値)に達し,残留分極はチタンが多いほど大きくなる。[2] さらにランタ
ンやニオブ,ビスマスなどを微量添加することでリーク電流の抑制,比誘電率の増加,エ
ンデュランスまたはインプリント特性(特定の方向分極させておくと、反対方向へ反転分極
しにくくなり,書き込みできなくなる。)の改善,後工程での膜質劣化抑制等の効果がある。
PZTは,成膜後の結晶化を促す熱処理で鉛や酸素が下地に拡散したり,表面から蒸発
して欠損する可能性を秘めている。 下部電極との界面近傍で鉛の欠損が起きるとペロブス
カイトより鉛の少ない Pb2(Ti,Zr)2O7 や Pb(Ti,Zr)3O7 層ができるため桁違いに誘電率が低下
する。 このため,成膜プロセスおよび成膜後の熱処理プロセスなどで組成変化(酸素欠損
に起因するとされている膜質の劣化を抑制する事が安定動作にとって重要と考えられてい
る。)が起きないように相互拡散バリア層や酸化鉛(PbO)雰囲気中での熱処理が検討されて
いる。 このためPZTを構成する各種主成分の熱処理による再分布や電極との反応の度合
いを評価することはプロセス構築を行ううえで非常に重要になる。
強誘電体膜は,酸化物であるとともに結晶構造を有しているため下地電極の特性は,強
誘電体特性に大きな影響を与える。 強誘電体膜の電極に要求される特性は,
1) 低電気抵抗
- 162 -
2) 強誘電体膜に近い格子定数(格子ミスマッチが小さい)
3) 十分な耐熱性(強誘電体成膜後の熱処理耐性)
4) 強誘電体との界面が安定(反応しない)で密着性が高い
5) 強誘電体を還元しない(強誘電体中の酸素を引き抜かない)
6) 鉛や酸素に対する拡散バリア特性
[5],
などが要求される。 これらの特性を満たす材料として白金, ルテニウム酸化物(RuOx)
イリジウムやイリジウム酸化物(IrOx)[6][7]が採用されている。
われわれは,PZTとの格子ミスマッチが小さく耐熱性に優れ,反応性が低く,自己配
向性の強い(細密充填構造の面心立方構造(111)に強く配向)白金を下部電極として検討し
た。 しかし白金は,下地の酸化シリコンとの密着性が低いために単層で使うことができな
い。 このため,酸化膜の上に密着層としてチタンを堆積した上に白金電極を成膜している。
また,白金はあまりに自己配向性が強いために柱状構造になってしまいその粒界が鉛や酸
素の拡散経路になる可能性がある。
5-4-1 実験方法
異なる組成のPZTターゲットを用いたスパッタリング法によって白金下部電極表面
に堆積し,熱処理を施すことによる,PZT構成成分の再分布や電極との反応具合をA
ESおよび,断面TEM観察によって評価を行った。 今回用いた試料条件を Table 5-4-2
に示すとともに試料の断面構造を Figure 5-4-4 示す。 一般的に原子番号の大きな元素
は,多くの電子軌道を持っているため広いエネルギー範囲にわたって多くの Auger 電子
ピークを伴うため,非常に複雑なスペクトル構造である。(原子番号が小さな元素は単純
なスペクトル構造をしている。) また一般的に光電子ピークに比べて Auger ピークはブ
ロードであり,近接したピークが存在すると重複する確率が高い。 本実験に用いている
下部電極材料の白金やPZTの主成分である鉛は原子番号が非常に大きいために広いエ
ネルギー領域に渡って多くのピークを伴って観測される。 これらのピークが重複する場
合は,重複しないピークを選択して利用することができるが,比較的原子番号の小さな
元素は,単一ピークしかない場合もありピークが重複した場合はその成分元素を抽出す
ることが困難になる。 Figure 5-4-5 に Physical Electronics 社のハンドブック[8]に
掲載されている白金と鉛の Auger 電子スペクトルを示す。 (図中に今回の測定で着目す
べき元素であるジルコニウム,チタン,酸素,シリコンのピーク位置にマーカーを表示
- 163 -
しておく。) これより白金,鉛ともに多くのエネルギー領域に渡ってピークが存在して
おり,シリコン,ジルコニウム,チタンのエネルギー領域にそのピークが重複して存在
していることがわかる。 シリコン,チタン,ジルコニウムの主ピークのエネルギー領域
における白金や鉛に起因するピークの干渉状況を Figure 5-4-6 に示す。 これより,Si
LVV(96eV)には,白金と鉛の主ピーク(Pt NVV(70eV), Pb NVV(97eV))が重なり(ここでは,
基板に相当するシリコンのスペクトルを示しているが酸化シリコンの場合,75eV 付近に
ピークが出現する。),Si KLL のエネルギー領域には鉛のピークが重なり,Ti LMM のエネ
ルギー領域の高エネルギー側のピーク(421eV)には鉛のピーク,低エネルギー側のピーク
(390eV)には白金と鉛のピークが重なり,Zr MNN のエネルギー領域(151eV)では白金のピ
ークが重なるために通常分離することができない。 幸いなことに基板上の酸化シリコン
中には鉛が存在していないと思われるので Si KLL(1621eV)を用いれば鉛の干渉効果を除
去でき,白金中にはPZT成分が含まれていない層が存在していると考えられる領域が
あるため,Pt NVV(70eV)のエネルギー領域から鉛とシリコンの干渉を,PZT中には白
金が含まれない層があると考えられるため Zr MNN のエネルギー領域から白金の干渉を
各々取り除くことができる。 同様に,密着層にはチタンが単独で存在しているのでチタ
ンの基準スペクトルを得ることができ,Ti LMM に重複する白金と鉛のスペクトルを除去
することが可能であると考えることができる。 これらの重複するピークの除去は,第 2
章で解説した因子分析の適用で容易に実現可能である。
A E S 分 析 条 件 を Table 5-4-3 に 示 す 。 ま た , T E M 観 察 は ト プ コ ン 社 製 の
EM-002BF/P20 を用いており,200kV に加速された電子で観察を行った。
5-4-2 結果と考察
因子分析適用前のAES深さ方向分析結果(生データ)の一例としてAターゲットの成
膜直後の試料の深さ方向分析結果を Figure 5-4-7 に示す。 ここでは,全てのデータに
対して 9 点 Savizky-Golay 平滑化処理を行った後に,9 点 Savizky-Golay 微分を行い,
微分強度に Physical Electronics 社の推奨する感度係数[8]を適用して組成を算出して
いる。 これより各層は熱処理前であるため相互反応・拡散が起きていないと考えられる
にもかかわらず相互に入り乱れたプロファイルに見える。 具体的には各元素に起因する
ピークが重複しており分離できていないため,PZT層中に白金が入り込んでいるよう
に見え,白金中にジルコニウムやチタンが入り込んでいるように見え,シリコン中に鉛,
- 164 -
白金,ジルコニウム,チタンが入り込んでいるように見えている事に起因している。
各試料の深さ方向分析結果に因子分析を適用して重複成分を除去した結果を Figure
5-4-8 に示す。 またPZT膜中の各成分の分布に着目するために Figure 5-4-9 に縦(Y)
軸を拡大(5倍)表示したものを示す。 これより各層におけるピークの重複分が除去され
たため非常に明快なプロファイルが得られている。 さらに因子分析を適用する事で,酸
素とチタンに関しては化学状態の違いを反映したプロファイルを構築することが可能に
なっている。 各プロファイルよりPZT層中での各元素に起因する信号強度を積分し,
膜内平均組成を求めた結果を Figure 5-4-10 に示す。 これらより,
(1) 成膜直後は,ターゲットによらず各元素が均一に分布しているが,ターゲット間
で組成の違いが見られる。
(AターゲットはBターゲットよりチタンが少なくジルコニ
ウムが多めである。)
(2) 成膜直後のPZTと白金(下部株電極)の界面は,Aターゲットのほうが急峻であ
る。 界面のだれはPZT膜の表面モフォロジーを反映しているのか,分析時のイオン
照射(選択スパッタ等)に起因しているのかは不明。
(3) 熱処理による組成の変化はわずかである。(揮発性があると考えられた鉛の脱離は,
計測されなかった。 またいずれの試料も熱処理によってチタン濃度が微減しているよ
うに見えるが,下部電極の白金との反応に費やされた可能性が高い。)
(4) Aターゲットで成膜したPZT膜は熱処理後にチタンと鉛,ジルコニウムの再分
布が起きている(内部に行くほどチタン濃度が増加)が,チタンの多いBターゲットで
は熱処理による成分元素の再分布は起きない。
(5) 熱処理によって下部電極との界面にチタンの多い層が形成される。(特にBターゲ
ットでは白金とチタンで構成されていると思われる層が存在している。)
(6) 密着層のチタンは最初の熱処理で酸化物になるとともに白金電極と激しく反応す
る。 この反応の仕方は,PZT膜の種類によって大きく異なる。(Bターゲットでは
密着層が下部電極中に取り込まれるほど激しく反応する。)
(7) PZT膜及び白金電極の成分元素の再分布は最初の熱処理で決定される。(最初の
熱処理と 2 度目の熱処理の違いは見られない。)
(8) いずれの試料も再表面で鉛が濃化しているように見える。(理由は不明。)
という知見が得られた。
各試料の断面TEM観察結果を Figure 5-4-11 に示す。 ここでは,成膜直後の試料の
- 165 -
TEM試料作成時に表面が,破壊されてしまっていることが問題であるが,以下の情報
を読み取ることができる。
(1) ターゲットの違いによらず,成膜直後のPZTは非晶質であり,下部電極の白金
は柱状晶になっている。
(2) 最初の熱処理によってPZTの結晶化が始まる。
(3) Aターゲットで成膜したPZT膜は,最初の熱処理によって表面に大きな凹凸が
形成される。(PZT膜厚の 1/5 程度はある。)
(4) Aターゲットで成膜したPZTの下部電極(Pt)と密着層(Ti)は熱処理後に局所的
に反応しているのに対して,Bターゲットで成膜したPZTの下部電極(Pt)と密着層
は全面的に反応しており,単独で密着層を認識するのが困難な状態になっている。
(5) Bターゲットで成膜したPZTの下部電極下の密着層成分は白金電極中に析出し
ている。
(6) Aターゲットは,熱処理プロセスによってPZT中の白い相(空隙?)が減少し
ていくにもかかわらずBターゲットでは大きな変化は見られない。
5-4-3 まとめ
FeRAMの信号蓄積のキャパシタとして使用するPZTの熱処理に伴う組成再分布の
様子をAESとTEMを用いて評価した。 特にAES深さ方向分析では,試料構成元素が
原子番号の大きなものを含んでいるために,各元素のピークが相互に重複干渉し,正しい
深さ方向分析を行うことが難しいという問題に対して因子分析を適用することで非常に明
確に各種ピークを分離することができた。 この結果ターゲットの違いによる熱処理におけ
る元素の再分布を捉えることが可能になった。
この結果,成膜直後に均一に分布していた各構成元素は,下部電極近傍にチタンが偏析
する方向で再分布し,チタン濃度の比較的少ない(ジルコニウム濃度の多い)試料では下部
電極に近いほどチタンが多くなるように組成の再分布が起きることがわかった。 なお,こ
のときの再分布は最初の熱処理で決まる(2度目の熱処理で組成分布の変化は無い)ことが
わかった。 このことは,Bターゲットのチタン量がPZTとして安定な構造を作るのに必
要な量(Pb+Zr 量)と考えることができる。 また,ターゲットの違いで下部電極と密着層と
の反応が大きく異なり,チタンの多い(再分布の起きない)Bターゲットでは下部電極と密
着層が激しく反応するため過剰に固溶したチタンが白金中に析出するという現象が確認さ
- 166 -
れた。(PZTの組成が再分布しない(動かない)Bターゲットでは,熱処理時の膨張係数の
違いに起因して生じるストレスを密着層と反応することによって緩和している可能性があ
る。)
なお当初心配された,蒸気圧の高い鉛が熱処理によって脱離する現象は観察されなかった。
また,酸素欠損についても試料間で有意な違いは観察されなかった。
PZT膜の最も重要な課題は、酸素欠損と鉛抜けに起因するとされている膜質の劣化を
抑制することと,安定したデータの読み書きを達成すること,データを確実に保持するこ
とである。 タンタル酸化膜同様に配線プロセスでの熱処理や,各種プラズマダメージ(ド
ライエッチングなど)の影響についても把握しておかなければならない。 また,電極材料
として考えられている材料はほとんどの場合多くは揮発性の生成物ができないため,加工
時のCD(Critical Dimension)の制御が難しく微細化を行う際には解決しなければならな
い課題と思われる。
- 167 -
Word Line
Gate
MOSFET
Bit Line
Ferro. Capacitor
Source
Drain
Ferro.
Capacitor
Plate Line
MOSFET
Figure 5-4-1 1対のMOSトランジスタと強誘電体電荷蓄積キャパシタを基本単位としているFeRAM
の基本構成図。
分極量 (μC/cm2)
40
電界
20
[0] 状態
Data B
「0」Data
0
-20
「1」Data
[1] Data
状態 A
-40
: Pb
:O
: Zr/Ti
-6
(a) チタン酸ジルコン酸鉛(PZT)の結晶構造
-4
-2
0
2
下部電極電圧 (V)
4
6
(b) PZT結晶のヒステリシス特性
Figure 5-4-2 FeRAMの記憶のメカニズム (a)PZTの結晶構造の中にあるZr/Tiの位置が電界で変移
して情報を記憶する。 (b)PZT結晶のヒステリシス特性。
Table 5-4-1 各種メモリデバイスの性能比較 [2]
素子名
動作速度
50ns(読出)
600ns(書込)
10ns(読出)
SRAM
10ns(書込)
70ns(読出)
フラッシュ
110us(書込)
FRAM 60ns(読出)
100ns(書込)
DRAM
消費電力
動作時
待機時
書換回数 不揮発性 ビット書換 セルサイズ
~400mW
~1.8mW
1015回
×
○
1
~1mW
~0.1mW
10 回
15
×
○
4
10 回
6
○
×
0.5
12
○
○
1
~0.1mW(通電)
0(OFF)
~33uW(通電)
~165mW
0(OFF)
~250mW
10 回
SRAM : Static Random Access Memory
- 168 -
温度 [℃]
500
400
常誘電相
300
200
菱面体晶系
強誘電相
正方晶系
強誘電相
100
反強誘電相
0
PbZrO3
20
40
60
80
PbTiO3のモル分率 [%]
100
PbTiO3
Figure 5-4-3 PZTの相図。[4]
Table 5-4-2 試料作成手順。
1
2
3
4
5
6
7
8
9
p-Si(100) for substrate
Thermal oxidation[100nm]
Thin titanium film [20nm] deposition for glue layer.
Platinum film [175nm] deposition for lower electrode.
PZT films deposition (target A and B) ・・・ as deposition
1st annealing (750℃-60sec.) ・・・ 1st anneal
Platinum film [175nm] deposition for upper electrode.
Riactive ion etching to make upper electrode feature.
2nd annealing(650℃-1hour) ・・・ 2nd anneal
PZT [220nm]
Pt [175nm]
Ti [20nm]
SiO2 [100nm]
Si-sub.
Figure 5-4-4 試料断面構造。
- 169 -
Figure 5-4-5 Pt, Pbのオージェスペクトルと各元素に起因するピークの出現エネルギー。
(a) Si LVV
(b) Si KLL
(c) Ti LMM
(d) Zr MNN
Figure 5-4-6 Pt, Pbのオージェスペクトルと各元素に起因するピークの出現エネルギー 。 (a) Si LVV
領域には,Pt NVVとPb NVVが重複。 (b) Si KLL領域には,Pb MNNが重複 (c) Ti LMM領域に
は,Pt NVV,Pb NVVが重複 (d) Zr MNN領域には,Pt NVVが重複。
- 170 -
Table 5-4-3 AES分析条件。
AES : Physical Elentronics Inc. Model 670xi
Probe energy
10 kV
Probe current
20 nA
Analysis area
2 um×2 um
Ion spiesis
Ar+
Ion energy
2kV
Supertter rate
25.8 nm/min.
⊿E/E=0.5%
Energy resolution
Pt-NVV(64eV), Pb-NVV(94eV), Zr-MNN(147eV), Ti-LMM(387eV), O-KVV(510eV), Si-KLL(1619eV)
Data processing
1 Smoosing (9point, Savitzky-Golay)
2 Differential (9point, Savitzky-Golay)
3 Quantitative using sensitive factor recommended from Physical Electronics
※To avoid peak over lap we used Target Factor Analysis(TFA)
Table 5-4-4 SIMS分析条件。
SIMS : HITACHI Ltd. : IMA3
+
Probe
O
Probe energy
7 kV
Probe current
220 nA
Probe incidence
45°
Raster area
600 um×600 um
Analysis area
36 um×36 um
⊿M/M=0.25%
Mass resolution
Pt(195), Pb(208), Zr(94), Ti(50), O2(32), Si(29), La(139), Sr(87)
Figure 5-4-7 因子分析を使用しない場合に各層が明確に分離できていないことを示す例として,
Target Aの成膜後の試料の深さ方向分析結果。
- 171 -
target A
60
Atomic Concentration(%)
80
100
40
20
0
Atomic Concentration(%)
100
10
20
30
Sputter Time(min.)
40
1st anneal
80
60
Pb1
Zr1
Ti2(oxide)
Ti2(metal)
O1(MOx)
O1(SiO2)
Pt1
Si2
20
60
40
20
0
100
10
20
30
Sputter Time(min.)
40
20
30
Sputter Time(min.)
40
1st anneal
80
60
50
Pb1
Zr1
Ti2(oxide)
Ti2(metal)
O1(MOx)
O1(SiO2)
Pt1
Si2
40
20
0
0
10
20
30
Sputter Time(min.)
40
2nd anneal
80
60
0
50
Pb1
Zr1
Ti2(oxide)
Ti2(metal)
O1(MOx)
O1(SiO2)
Pt1
Si2
40
20
100
Atomic Concentration(%)
0
Atomic Concentration(%)
80
50
40
100
Pb1
Zr1
Ti2(oxide)
Ti2(metal)
O1(MOx)
O1(SiO2)
Pt1
Si2
as depo
0
0
Atomic Concentration(%)
Atomic Concentration(%)
100
target B
Pb1
Zr1
Ti2(oxide)
Ti2(metal)
O1(MOx)
O1(SiO2)
Pt1
Si2
as depo
10
2nd anneal
80
60
50
Pb1
Zr1
Ti2(oxide)
Ti2(metal)
O1(MOx)
O1(SiO2)
Pt1
Si2
40
20
0
0
0
10
20
30
Sputter Time(min.)
40
0
50
10
20
30
Sputter Time(min.)
40
50
Figure 5-4-8 AES深さ方向分析結果に因子分析を適用した結果。 左列がAターゲットの熱処理依存
性,右列がBターゲットの熱処理依存性を各々示している。
- 172 -
target A
Atomic Concentration(%)
15
10
15
10
5
0
20
30
Sputter Time(min.)
40
50
0
Pb1
Zr1
Ti2(oxide)
Ti2(metal)
O1(MOx)
O1(SiO2)
Pt1
Si2
15
10
5
20
Atomic Concentration(%)
10
1st anneal
20
Atomic Concentration(%)
5
0
0
10
20
30
Sputter Time(min.)
40
20
30
Sputter Time(min.)
40
20
30
Sputter Time(min.)
40
50
Pb1
Zr1
Ti2(oxide)
Ti2(metal)
O1(MOx)
O1(SiO2)
Pt1
Si2
1st anneal
15
10
0
5
0
10
20
30
Sputter Time(min.)
40
Pb1
Zr1
Ti2(oxide)
Ti2(metal)
O1(MOx)
O1(SiO2)
Pt1
Si2
2nd anneal
20
50
15
10
5
0
0
20
Atomic Concentration(%)
0
Atomic Concentration(%)
Pb1
Zr1
Ti2(oxide)
Ti2(metal)
O1(MOx)
O1(SiO2)
Pt1
Si2
as depo
20
Atomic Concentration(%)
as depo
20
target B
Pb1
Zr1
Ti2(oxide)
Ti2(metal)
O1(MOx)
O1(SiO2)
Pt1
Si2
10
2nd anneal
50
Pb1
Zr1
Ti2(oxide)
Ti2(metal)
O1(MOx)
O1(SiO2)
Pt1
Si2
15
10
5
0
0
10
20
30
Sputter Time(min.)
40
50
0
Figure 5-4-9 Fig. 5-4-7のY軸を拡大表示。
- 173 -
10
50
- 174 -
2n
da
ea
l
l
nn
nn
ea
ea
l
l
io n
Atomic concentration [%], Ti, Pb, Zr
nn
ea
io n
nn
s it
s it
ta
po
1s
de
da
ta
po
Zr
as
2n
1s
de
O
(b) target B
Ti
Pb
O
Zr
Figure 5-4-10 PZT組成のターゲット・熱処理依存性。 (a) target A,(b) target B。
Atomic concentration [%], O
as
Atomic concentration [%], Ti, Pb, Zr
Ti
Pb
Atomic concentration [%], O
(a) target A
(a) target A as deposition
(b) target B as deposition
PZT
Pt
Ti
(c) target A 1st anneal
(d) target B 1st anneal
PZT
Pt
Ti
(e) target A 2nd anneal
(f) target B 2nd anneal
PZT
Pt
Ti
Figure 5-4-11 PZT膜の断面TEM観察結果。 (a) target Aの成膜後 (b) target Bの成膜後 (c) target A
の1stアニール後 (d) target Bの1stアニール後 (e) target Aの2ndアニール後 (f) target Bの2ndア
ニール後。
- 175 -
参考文献
[1] 中村誠, 半田久美子, 本田耕一郎, 阿部直道 : 第 58 回応用物理学会学術講演予稿
集 (1997) 551,Practical Surface Analysis(PSA)予稿集 (1997) 82.
[2] 川合知二 : 消えない IC メモリ-FRAM のすべて-(1996) 工業調査会.
[3] 高須秀視,宅間俊則編集 : FRAM IC カード技術(1999) サイエンスフォーラム.
[4] 山口喬,柳田博明編:エレクトロセラミック,技報堂出版.
[5] S. B. Desu and I. K. Yoo : Integrated Ferroelectrics, 3(1993)365.
[6] Koichi Takemura, Shintaro Yamamichi, Pierre-Yves Lesaicherre, Ken Tokashiki,
Hidenobu Miyamoto, Haruhiko Ono, Yoichi Miyasaka and Masaji Yoshida : Jpn.
J. Appl Phys., 34 (1995) 5224.
[7] Takeshi Nakamura, Yuichi Nakao, Akira Kamisawa and Hidemi Takatsu : Jpn. J.
Appl. Phys., 33 (1994) 5207.
[8] MultiPak V6.2 Physical Electronics Inc., (1994-2003).
☆ Auger ピ ー ク の エ ネ ル ギ ー 位 置 は , Common Data Processing System Version 8
(Surface Analysis Society Japan)の Physical Database を利用。
- 176 -
第6章
総括
本論文は,いつのまにかわれわれの日常の生活の中に進入し,その暮らしを影から支
える小さな頭脳を生み出しながら巨大化してきた半導体産業界の研究開発部門に所属し,
主に表面分析を通じて材料及びプロセス,デバイスを評価することで新製品の開発に貢
献してきた中で,公表して来た実験結果の一部を静岡大学電子工学研究所に在学してい
る際にまとめたものである。これらの研究により,以下の成果が得られた。
1.XPSを用いてシリコン上の酸化膜厚 d を J. M. Hill の方法で求める際に必要と
なるパラメータである平均自由行程λと実験依存定数Kを実験的によって求め,以
下の式を導き出した。
Mg-Kαの場合:
I SiO2
⎛
⎞
d = 2.90 ⋅ sinθ ⋅ ln⎜⎜1.05
+ 1 ⎟⎟
I Si
⎝
⎠
Al-Kαの場合:
I SiO2
⎛
⎞
d = 3.42 ⋅ sinθ ⋅ ln⎜⎜ 1.10
+ 1 ⎟⎟
I Si
⎝
⎠
ここで ISiO2 及び ISi は,各々Si2p の中で酸化膜に起因する成分と下地基板に起因す
る成分の面積強度比である。
本実験結果は,1991 年に応用物理学会にて発表[1]したものであるが,昨年NPLの
M. P. Seah らによって詳細な実験[2]のもとに求められた平均自由行程値と実に良く
一致していた。(M. P. Seah らの値は,λMg=2.996nm,λAl=3.485nm)
また,膜厚測定時に問題となると考えられる光電子回折の影響と弾性散乱電子の影
響を考え特に光電子回折についてはその影響を簡単なシミュレーションで検討した。
さらに実際に試料の取り付け方がどの程度膜厚に影響するのかを検討した結果,約
1nm 程度の膜厚を計測する場合に 1 割程度は様々な因子で膜厚がばらつくことを示
した。 またNISTの C. J. Powell らが多くの研究者が気にしていないと指摘し
ている,弾性散乱電子が低仰角での測定の際に影響してくる事について解説した。
2.最近注目を集めている最大エントロピー法を用いて光電子強度の取り出し角度依
存性(角度分解光電子分光法)から深さプロファイルを再構築する際には,構造モデ
ルを想定することなく,ブラックボックスとしてプログラムを走らせるのは非常に
危険であることと,sinθ=0.2 や 0.3(θ=11.5°や 17.5°)といった実用的にはあ
まり使われない低アナライザー仰角での測定が重要であることを構造モデルに基づ
く計算によって示した。
- 177 -
3.従来から用いられている光電子スペクトル(通常は O1s スペクトル)のエネルギー
損失スペクトルからバンドギャップを求める方法[4][5][6]は,試料によっては致命
的な欠点を有しておりこの欠点は,弾性散乱電子のエネルギー損失スペクトルを用
いることで容易に解決できることを提案した。[7] 具体的には,従来の方法で SiO2
のような単一元素の酸化膜で比較的大きなバンドギャップ値を有する材料の評価を
試みる場合は非常に有効であるが,高誘電体ゲート絶縁膜材料のハフニウムシリケ
ート(HfSiO)等の場合は,O1s スペクトル中に HfO2 と SiO2 に起因するピーク(または
その中間成分のピーク)が現れるだけでなく,エネルギー損失領域に Hf4s が現れる
ためにエネルギー損失開始位置を規定するのが困難である。またアルミナは単一元
素の酸化物であるにもかかわらず大気中で一部が水酸化物に変わり O1s スペクトル
が Al2O3 と Al(OH)3 の二つの状態が現れるためバンドギャップの決定が難しいことを
示した。弾性散乱電子のエネルギー損失スペクトルを用いる事で,光電子に比べ基準
ピークが鋭くなるため,よりバンドギャップの小さな材料への適用が可能になるほ
か,プローブのエネルギーをコントロールして分析深さを調整することができ,微小
な領域でのバンドギャップを評価することが可能になる。
4.XPSを用いてサリサイドプロセスにおけるシリサイド化反応の基板導電型依存
性が生じる原因の究明を試みた。[8][9] この結果,側壁絶縁膜を形成する際の反応
性イオンエッチング時に導入される炭素が Si-C となって基板表面に残存することが
シリサイド反応を阻害していることを明らかにした。つまり,基板の導電型の違い
によって表面に形成される自然酸化膜厚が異なるため,より厚い酸化膜が形成され
る n 型不純物が注入された基板では酸化時に Si-C を多く含んで酸化が進行するため,
フッ酸処理時により多くの Si-C を酸化膜とともにウオッシュアウトしてしまうため
に結果的に Si-C 量が減少しシリサイド化反応が進行するものである。
5.次世代デバイスにゲート絶縁膜として搭載されると考えられているハフニウムシ
リケートの亜種であるは HfO2 と SiN よりなるナノラミネート膜の物性評価を試みた
結果[7],ナノラミネート構造にする事で酸化が抑制されることがわかった。 また,
弾性散乱電子のエネルギー損失を用いて計測したバンドギャップ値は組成や熱処理
によらずおよそ 6.6eV であることがわかった。しかし,価電子帯スペクトルより計
測したバンドオフセット値は HfO2 が最も大きく 3.4eV で熱処理によって僅かに大き
くなることとハフニウム量(Hf/[Hf+Si])が多くなるほど小さくなることが判った。
- 178 -
6.XPSを用いてDRAMのキャパシタ誘電体膜として使用されていたLPCVD
シリコン窒化膜(SIHCl3+NH4[675℃])の成長前処理依存性(d-HF,HF vapor,HCl/H2O2)
の評価を行った。[10][11] J. M. Hill の式を応用した式により,シリコン窒化膜及
び界面の酸化層の膜厚を求める事に成功し,シリコン表面が水素やフッ素のように
シリコンと比較的強固な結合を持つ1原子で終端されている場合,成長初期にその
結合を切断するプロセスがあるため,初期成膜速度が低下することを明らかにした。
またこれらの結合の存在は,基板と窒化膜界面の酸化も抑制されることを明らかに
した。さらに,シリコン窒化膜と酸化膜が混在する系においては,Si2p ではそれら
を明確に分離することはできないが,制動放射X線で励起される Si-KLL オージェ電
子を用いれば明確に分離することができることを明らかにした。制動放射X線で励
起される Si-KLL オージェ電子のピークは,負の結合エネルギー領域に出現するので
その存在を知る人は少ないが,バックグラウンドが極めて小さいため非常に有効で
ある。
7.XPSを主に用いてDRAMのキャパシタ誘電体膜として使用されているタンタ
ル酸化膜の下部のルテニウム系電極依存性(Ru,Ru 表面改質,RuO2)を評価した。[12]
ルテニウム電極の表面に直接MOCVD法を用いてタンタル酸化膜すると極表面に
酸化ルテニウム層が形成されこの酸化ルテニウムは結晶性を持っていることを明ら
かにした。この酸化ルテニウムはしかるべき表面処理によって生成を抑制すること
ができることも明らかにした。また,これらの電極の違いによってタンタル酸化膜
のバンドギャップが変化し,ルテニウム酸化膜が多いほどバンドギャップが小さく
なる傾向にあるいっぽうでバンドオフセットは下地に依存せず一定値であることを
明らかにした。
8.AESの深さ方向分析結果に因子分析(ファクタアナリシス)を適用することによ
って従来ピークが重複するために不可能であったPZT/Pt/Ti/SiO2 積層構造中の
特にPZT膜内における各元素の分布を明確に再現することが可能になり,組成や
熱処理に依存した構成元素の再分布の評価を可能にした。[13][14] この結果,比較
的 Ti/(Ti+Zr)の大きい膜では熱処理によってPZT内の各構成成分は一定であるが
小さめの膜では,下地電極の白金に配向するためか白金側にチタンが集まる傾向に再
分布が起きることを明らかにした。また,PZT膜に再分布が起こらない時は白金と
グルー層のチタンが劇的に反応することを明らかにした。
(TEMでも確認できた。)
- 179 -
鉛のように原子番号の大きな物は非常に多くのピークを伴っているため他の元素と
干渉する可能性が極めて高く,因子分析なくしてはこれらのプロファイルを効率よ
く再現できない。
半導体デバイスサイズの縮小・微細化はこれからも推し進められて行くと考えられて
おり,機器分析による評価は決して容易ではなくなって来ている。 そのため,実際のデ
バイスプロセスや材料プロセスを熟知して有機的に解析装置を組み合わせていかなけれ
ばならない。 また,測定原理に立ち返り,これまであまり用いられなかったような些細
な変化に着目することや,データ解析技術を発展させていかなければならない時代にな
っている。 なかでも電子分光分析による評価は非常に困難になってきているが,今後も
以下に示す様な分野で有益なデータを出しつづけると考えている。
[量産現場]
AESは,表面またはFIBで露出された面に存在する異物等の同定などの不良解
析手法の一つとして今後も使われて行くものと思われる。 XPSは,多元素で構成さ
れるゲート絶縁膜(SiON や HfSiON をはじめとする高誘電体)や銅配線のバリアメタル
の組成や膜厚の面内分布,ロット間分布の評価ツールとしてラインの中にどんどん投
入されて行くと考えられる。
[開発現場]
XPSは,極薄膜の深さ方向分布の測定ツールとして,各所でMEMに関する地道
な研究(最適化のために各種パラメータをどのように決めて行くのか)がなされている
様で最適化が進んで行くものと考えられる。 MEMの適用は,現在ではXPSに限ら
れているが,半球型の分光器を有するAESでも同様のアルゴリズムを適用可能であ
ると考えられる。(AESへの適用が無い理由として装置メーカーの思想によるところ
が大きいものと考えている。)
またXPSは,メタルゲートやゲート絶縁膜,容量絶
縁膜とその電極に新材料が投入される事が明確になっているのでバンドダイアグラム
を作成することで材料選択の際に使用されて行くと考えられる。 いっぽうAESは,
通常のXPS装置には電子銃を搭載されていない場合が多いので弾性散乱電子のエネ
ルギー損失を測定してバンドギャップをする目的でXPSを補間して使われると考え
られる。(CMAによる測定でも⊿E/E=0.2%条件で 300eV のプローブ電子の弾性散乱ス
ペクトルを測定するとその半値幅は 0.6eV になるため本実験条件よりも十分分解能が
高い測定が可能である。)
- 180 -
産業界に新しい材料が投入され続ける限り,製品を開発し歩留まり良く安定に量産供
給していくためには,それらを評価・分析する技術は,不可欠でありその重要度は増す
いっぽうである。 各種評価技術がよりいっそうの進化を遂げ,縁の下の力持ちである評
価分析に携わる皆さんが表舞台に立つ機会が多くなることを祈りつつ本論文を締めたい
と思う。
参考文献
[1] 中村誠,菊地吉男,吉田正道 : 第 52 回応用物理学術講演会予稿集 (1991) 705.
[2] M. P. Seah and S. J. Spencer : Surf. Interface Anal., 37 (2005) 731.
[3] C. J. Powell and A. Jablonski : J. VAc. Sci. Technol. A19 (2001) 2604.
[4] S. Miyazaki, H. Nishimura, M. Fukuda, L. Ley and J. Ristein : Appl. Surf.
Sci.,
113/114 (1997) 585.
[5] Makoto Nakamura and Masaaki Nakabayashi : J. Surf. Anal., 9 (2002) 424.
[6] P. D. Krish, C. S. Kang, J. Lozano, J. C. Lee and J. G. Ekerdt : J. Appl.
Phys., 91 (2002) 4353.
[7] Makoto Nakamura, Akihiro Tanaka, David G. Watson, Masaru Shimomura, Yasuo
Fukuda, ShinQin Xiao and Kazuto Ikeda : J. Surf. Anal., 12 (2005) 263.
[8] Makoto Nakamura, Atsuo Fushida and Tsutomu Iikawa : J. Korea Vacuum Society,
9 (2000) 125.
[9] 中村誠 :J. Surf. Anal., 8 (2001) 9.
[10] Makoto Nakamura, Yoshio Kikuchi, Masahiro Kuwamura and Masamichi Yoshida :
Matreials Res. Soci. Symp. Proc., 248 (1993) 153.
[11] 中村誠 : J. Surf. Anal., 2 (1996) 211.
[12] Makoto Nakamura and Masaaki Nakabayashi : J. Surf. Anal., 9 (2002) 424.
[13] 中村誠,半田久美子,本田耕一郎,阿部直道 : 第 58 回応用物理学会学術講演会
予稿集,(1997) 551.
[14] 中村誠,本田耕一郎,阿部直道 : Practical Surface Analysis
講演会-
予稿集,(1997) 82.
- 181 -
-実用表面分析
Appendix
Appendix 1 LSIの微細化に伴う各種パラメータの変化
L:チャンネル長 ,W:チャンネル幅,tox:ゲート酸化膜厚,Vcc:電源電圧,ε:
誘電率,μ:移動度
とすると,(Figure 1 参照)
○集積度
L ×W
スケーリング後の面積
κ=1
= κ
L× W
スケーリング前の面積
κ2
○電流[IDS]
スケーリング後の電流
=
スケーリング前の電流
( ) ⎞⎟ × μ × ε × ⎛⎜⎜ (W κ )× (L κ )
⎛t
( )⎟⎠
⎜⎜
⎜
⎞
⎟
⎟ × (VGS - Vth )2
⎞⎟
ox
⎟⎟
1
⎝ κ ⎠⎠
⎝
=
κ
W × μ × ε × ⎛⎜ (W × L ) ⎞⎟ × (V - V )2
GS
th
L
t ox ⎠
⎝
⎛W
⎜ κ
W
⎜
κ
⎝
( )
○消費電力/ゲート[P]
⎛ I DS ⎞ × ⎛ VCC ⎞
κ ⎟⎠ 1
スケーリング後の消費電力 ⎜⎝ κ ⎟⎠ ⎜⎝
= 2
=
I DS × VCC
スケーリング前の消費電力
κ
○回路遅延[τ]
(Cκ )× ⎛⎜⎝ V
CC
⎞
κ ⎟⎠
⎛ I DS ⎞
⎜
κ ⎟⎠ 1
⎝
=
κ
⎛ CVCC ⎞
⎜
κ ⎟⎠
⎝
スケーリング後の遅延時間
=
スケーリング前の遅延時間
○ゲート容量[Cg]
(ε × W κ × L κ )
スケーリング後のゲート容量
=
スケーリング前のゲート容量
⎛ tox ⎞
⎜ κ⎟ 1
⎝
⎠=
(ε × W × L )
κ
tox
配線抵抗[R]
⎤
( )
( ) ( )⎥⎥⎦
⎡ρ × l
κ
⎢
t × w
⎢
スケーリング前の配線 抵抗 ⎣
κ
κ
=
スケーリング後の配線 抵抗
ρ × 1(t × w)
となる。
- 182 -
=κ
Appendix 2 バックグラウンドの規定
XPSスペクトルのデータ処理を行う上でバックグラウンドの除去は,ピークのラベ
ル付け(帰属付け)を除くすべての基本的なデータ処理(ピーク分離,面積や半値幅の測定,
定量など)に先立って行われているにもかかわらず,未だに D. A. Shirley の方法[1]や
直線といった根拠の乏しい方法(一般的に用いられているバックグラウンドの規定方法
には固体内の電子の挙動に関する考察がまったくなされていない)で定義されているの
が一般的である。(D. A. Shirley の方法[1]が提案されてから 30 年以上が経っているに
もかかわらず,その改良法は有るもののいまだに遍く普及している代替アルゴリズムは
ない。) たとえば最も一般的に用いられている D. A. Shirley の方法では,あるエネル
ギー値におけるバックグラウンドはそのエネルギーより高運動エネルギー側にある全ピ
ークの面積に比例しているという単純な考えのみに基づいているためその誤差は大きい
事は言うに及ばず,EELS(Electron Energy Loss Spectrometry)で実験的に求めた誘
電関数に基づいている S. Tougaard の方法[2]でさえその誤差を数%以下にすることは困
難である上に,いまだに一部専門家[3]による最適化や構造評価に利用されているという
話を耳にするが,一般的に使用されているという話は聞こえてこない。(われわれも S.
Taugaard のパラメータの最適化を試みたことがある。[4]) また,基板から発生する光電
子の作るバックグラウンド(基板中と酸化シリコン膜中でエネルギー損失を受ける)と酸
化シリコン膜で発生する光電子の作るバックグラウンド(酸化シリコン膜中でのみエネ
ルギー損失を受ける)は明らかに異なる挙動をするのは,容易に理解でき,区別して規定
するべきであるのは自明であるが,ほとんど区別されていない。(J. Vegh の方法[5]はこ
れを考慮している) しかし,酸化シリコンとシリコン基板の界面のピークを抽出してい
る報告で,これらの問題に正面から取り組み,実験的または物理的根拠に基づいてバッ
クグラウンドを定義した後に該当ピークを抽出して界面遷移層の抽出を試みている報告
はほとんどなされていないのが現状といえる。
要は,固体内での電子の挙動がいまだに明確になっていないために,しかるべき根拠
に基づき,実用的な試料に耐えうるバックグラウンドの規定法は,存在していないのが
現状であり,このような状況で細かいピークを議論する事は,危険に満ちていると考えて
いる。
- 183 -
Appendix 3 TPP2M[6]
電子分光では,試料を構成する原子から発生した光電子やオージェ電子は発生した時の
エネルギーのまま非弾性散乱することなく分光器を通り検出器に到達しなければならない。
(さもなければ,発生した際のエネルギーを知ることができない。) このため試料中で発
生した電子が試料中でどの程度距離をエネルギー損失することなく移動できるのか(非弾
性散乱平均自由行程[Inelastic Mean Free Path : IMFP])を知ることは,分析深さを
推定する上で非常に重要である。 しかし,試料中で電子が非弾性散乱までの間に通過でき
る平均距離(IMFP)は,その電子の持つ運動エネルギーと試料によって大きく異なる。
田沼らは簡単な数値計算を行うことによってのこれらの量を推定するアルゴリズムを提案
し[6],TPP-2Mと名づけ多くの機関で使用されている。 TPP-2Mは,NISTに
在籍していた際に田沼らによって提案されたTPPに端を発し,いまだにマイナーチェン
ジを繰り返しながら進化している。 TPP-2Mによる非弾性散乱平均自由行程λは,以
下の簡単な式で表される。
λ=
E
β ln (γE ) − (C E ) + D E 2
[E {
2
p
(
)}]
[Equation 1]
ここで E は電子のエネルギー(eV),Ep は自由電子のプラズモンエネルギー(eV)で以下の式
で表される。
E p = 28.8( N v ρ M )
12
[Equation 2]
ここでNv は原子または分子あたりの価電子数,ρは密度(g/cm2),Mは原子量(分子量)を
各々表しており,各種パラメータのβ,γ,C,Dは,以下の式で表される。
(
β = −0.0216 + 0.944 E p 2 + E g 2
)
12
+ 7.39 × 10 − 4 ρ
[Equation 3]
γ = 0.191ρ −0.50
[Equation 4]
C = 1.97 − 0.91U
[Equation 5]
D = 53.4 − 20.8U
[Equation 6]
U = Nv M
[Equation 7]
ここで,Eg は,バンドギャップを表している。(導電体試料の場合は,バンドギャップが
無いのでEg=0)
TPP-2Mを使うことで,50~2000eV の運動エネルギーを持つ電子に対して固体中で
の平均自由行程を標準誤差~23%程度の精度で推定できる。[6]
- 184 -
Appendix 4 J. M. Hill の式[7]
J. M. Hill らによって提案された方法[7]は,Figure 2 に示すようにプローブX線と放
出される光電子のなす角をαとし,試料表面から深さZにある厚さ dz で面積Sの領域中に
ある元素ⅰのℓ殻から放出される光電子が,表面の接線に対して角度θの方向にある分光器
で検出される数Nは,元素 i の密度を n とすると,以下の式で与えられることに由来して
いる。
t2
⎞
⎛
z
⎟⎟dz
N = n ⋅ σ il ⋅ g (α ) ⋅ R ⋅ I 0 ⋅ T ⋅ D ⋅ S ∫ exp⎜⎜ −
t1
⎝ λl ⋅ sin θ ⎠
[Equation 8]
ここで,σiℓは元素 i のℓ殻から放出される光電子の光イオン化断面積(元素 i のℓ軌道から
光電子が放出される確率),g(α)は角度依存関数(元素 i のℓ軌道の角運動量に依存し,プ
ローブと検出器のなす角度αに依存する関数),λℓはℓ軌道から発生した光電子の試料中で
の非弾性散乱平均自由行程(非弾性散乱を受けるまでに移動できる平均距離で電子の運動
エネルギーと試料に依存),Rは表面粗さに関する係数,I0 は試料表面でのプローブの強度,
T は分光器の透過率, D は検出器の検出効率を各々示している。 ここで,角度依存関数
g(α)は,以下の式で与えられる。
g (α)=1+
βil 3
( sinα 2-1)
2 2
[Equation 9]
なおβiℓは,非対称パラメータと言われており[8],光電子の軌道とその放出角度に依存す
るものであるが,α=54.7°の時,無視することができる。
(本報に用いたXPS装置はこ
の条件を満たすよう設計されていることが特徴のひとつである。)
たとえば,膜厚tの酸化シリコン薄膜がシリコン基板上にある場合,各々の層から放出
出される光電子の強度は,(1)式を用いて,各々以下のようにと表すことができる。
⎛
⎞
t
z
⎟dz
I SiO2 = nSiO2 ⋅ σ SiO2 ⋅ g (α ) ⋅ R ⋅ I 0 ⋅ T ⋅ D ⋅ S ∫ exp⎜ − SiO2
0
⎜ λSiO ⋅ sin θ ⎟
2
⎝
⎠
= nSiO2 ⋅ σ SiO2 ⋅ g (α ) ⋅ R ⋅ I 0 ⋅ T ⋅ D ⋅ S ⋅ λSiO2 ⋅ sin θ (1 − exp
λ
−t
)
⋅ sin θ
SiO2
SiO2
[Equation 10]
⎛
⎞
∞
⎛
⎞
−t
z
⎟
⎟⎟dz × exp⎜ Si
I Si = nSi ⋅ σ Si ⋅ g (α ) ⋅ R ⋅ I 0 ⋅ T ⋅ D ⋅ S ∫ exp⎜⎜ −
⎜
⎟
t
⋅
λ
sin
θ
λ
sin
θ
⋅
⎝ Si
⎠
⎝ SiO2
⎠
- 185 -
⎛
⎞
−t
⎟
= nSi ⋅ σ Si ⋅ g (α ) ⋅ R ⋅I 0⋅T ⋅ D ⋅ S ⋅ λSi ⋅ sin θ × exp⎜ Si
⎜ λSiO ⋅ sin θ ⎟
2
⎝
⎠
[Equation 11]
は酸化シリコン中で発生した光電子の酸化シリコン中での平均自由行程,λSi は
ここで λSiO
SiO
2
SiO2
2
基板中で発生した光電子の酸化シリコン中での平均自由行程を各々意味している。 これら
より,
I Si
I SiO2
⎛
⎞
−t
⎟
nSi ⋅ σ Si ⋅ g (α ) ⋅ R ⋅ I 0 ⋅ T ⋅ D ⋅ S ⋅ λSi ⋅ sin θ ⋅ exp⎜ Si
⎜ λSiO ⋅ sin θ ⎟
2
⎝
⎠
=
⎡
⎤
−t
nSiO2 ⋅ σ SiO2 ⋅ g (α ) ⋅ R ⋅ I 0 ⋅ T ⋅ D ⋅ S ⋅ λSiO2 ⋅ sin θ (1 − exp ⎢ SiO2
⎥)
⎣⎢ λSiO2 ⋅ sin θ ⎦⎥
⎛
⎞
−t
⎟
nSi ⋅ σ Si ⋅ λSi ⋅ exp⎜ Si
⎜ λSiO ⋅ sin θ ⎟
2
⎝
⎠
=
−t
nSiO2 ⋅ σ SiO2 ⋅ λSiO2 (1 − exp SiO2
)
λSiO2 ⋅ sin θ
[Equation
12]
ここで,Si2p の酸化物と非酸化物の強度比を用いるため両者の運動エネルギーは概ね等し
い(約 4eV の違いがあるが平均自由行程の違いは誤差範囲と)と考えられるために近似的に,
Si
λSiO
SiO = λSiO = λSiO
[Equation 13]
2
2
2
とすることができる。 また n・σ・λは各々の感度係数(Sensitive Factor : SF)と考える
事ができるので,各々SFSi,SFSiO2 とし,膜厚 t について解くと,
⎡ I SiO SFSi
⎤
t = λ SiO2 ⋅ sin θ ⋅ ln ⎢ 2 ⋅
+ 1⎥
⎥⎦
⎣⎢ I Si SFSiO2
[Equation 14]
となり,感度係数比の項は,十分厚く表面に汚染のないシリコンと酸化シリコンを同一測
定条件で測定し,その時の強度比を求めることによって実験的に決定することができる。
ここで, SFSi/SFSiO2 は,シリコン表面の酸化シリコン膜を測定する際の(材料に依存する)
係数と考えることができるので SFSi/SFSiO2=K とおくと
⎡ I SiO
⎤
t = λ SiO2 ⋅ sin θ ⋅ ln ⎢ 2 ⋅ K + 1⎥
⎣ I Si
⎦
[Equation 15]
と表すことができる。ここで,シリコン基板上にある酸化シリコン膜厚を測定する場合の
Kは,先に述べたようにXPSの信号脱出(最大情報)深さよりも厚く清浄な酸化シリコン
と酸化膜を除去した後の酸化膜の無いシリコン(実際には水素ターミネートシリコン)を同
一条件で測定し,その強度比を取ることで実験的に求める事ができる。
- 186 -
Appendix 5 Auger 電子による化学状態分析[9]
通常,XPSで化学状態を推定するためには光電子ピークが用いられる。(Auger パラメ
ータ(光電子と Auger 電子のエネルギー差)を用いる場合は補助的に Auger 電子が用いられ
ているが,最近では,Auger パラメータを積極的に利用するという報告も少なくなってき
ている。) これは,何よりも光電子の放出プロセスが Auger 電子のものに比べて単純であ
るために直感的に理解しやすく,ピーク形状も比較的単純で,ピーク幅も一般的に小さい
(ピークがシャープ)ためである。 またこれまで蓄積されているデータベースが光電子スペ
クトル中心で Auger 電子の化学状態変化に関してあまり蓄積されていないためと思われる。
しかし,今回の様に Auger 電子のほうが容易に化学状態の判別ができる場合があり,Auger
電子も光電子と同程度以上に化学状態に応じたケミカルシフトが観察される可能性がある
ことについて,関根らが詳細にまとめて報告している。[10][11] 関根らの報告している
Auger 電子のほうが化学状態の違いが大きく現れているものより化学シフト量を比較すべ
くまとめた結果を Figure 3 に示すとともに元になったデータを Table 1 に示す。ここに示
す元素の化学シフト量に関してのみの考えると,光電子ピークの化学シフト量に比べて
Auger 電子ピークの化学シフト量のほうがおよそ 1.8 倍大きな値を示していることがわか
る。 これは Auger 電子が 2 つの軌道の電子状態を反映していると考えることにより理解で
きる。 ピークのエネルギー幅がブロードな Auger 電子スペクトルを積極的に利用していく
ためには,ピークエネルギー値という一次元情報よりピーク形状全体という二次元情報を
積極的に利用することが望ましい。
つまり,光電子ピークに固執することなく必要に応じて Auger 電子のピークを積極的に
用い,データベースを蓄積していくことがXPSによる新材料評価技術のために重要であ
ると考えている。また,結合エネルギーがマイナス領域に現れる,制動放射のX線で励起
される Auger 電子を積極的に用いることは,XPSの情報量を高めるためにも有効である。
マイナスの結合エネルギー領域の Auger 電子スペクトルは,ピーク強度が小さいがバック
グラウンドが極めて小さいため微量でも感度良く測定ができるため今後の応用が期待でき
る。
- 187 -
Gate
W
Source
Drain
L
Figure 1 MOS型FETのゲート(チャンネル)長Lとゲート(チャンネル)幅Wの定義。
Analyzer
X-ray
Photoelectron
θ
surface
Z
α
S
t1
t2
dz
Figure 2 J. M. Hillの式を導くための各種パラメータを定義する図。
14
12
XPS shift, eV
10
8
6
4
2
0
-2
Y=-0.57X-0.33
-4
-18
-16
-14
-12
-10
-8
-6
-4
-2
AES shift, eV
Figure 3 XPSとAESで観察される化学シフトの比較。[9][10][11]
- 188 -
0
2
Table 1 XPSとAESで観察される化学シフトの比較。[10][11]
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
Target
Al
Al
Al
Al
Si
Si
Si
Si
Si
Si
Ca
Ca
Ca
Ca
Ti
Ti
Ti
Ti
Fe
Fe
Fe
Fe
Fe
Fe
Co
Co
Co
Co
Co
Co
Co
Co
As
As
As
As
As
As
As
As
As
As
As
Ag
Ag
Ag
Ag
Ag
Ag
Ag
Ag
Ag
Pb
Pb
Pb
Pb
Pb
Pb
Pb
Pb
Pb
Pb
Pb
Pb
Materials
XPS
AlAs
AlN
Al2O3
Al(OH)3
PbSI0.6
MoSi2
Si3N4
SiO2
SiH4
SiCl4
CaO
CaCl2
CaF2
CaCo3
TiC
TiO
NaTiF6
K2TiF6
FeP
FeB
Fe3C
FeS2
FeO
Fe2O3
CoP
CoSe
CoS2
CoO
Co(OH)2
K3Co(CN6)
Co(NH3)6Cl3
CoSiF6
NbAs
AlAs
AlGaAs
GaAs
As2Te3
As2Se3
As2S3
As2O3
As2O5
NaAsO2
AsI3
AlAg
CuAgSe
AgSe
Ag2S
Ag2O
AgO
AgI
AgF
AgF2
PbTe
PbSe
PbS
PbO
PbO2
Pb(OH)2
PbSO4
PbSiO3
PbCrO4
PbTiO3
PbWO4
PbI2
Energy, eV XPS shift, eV AES Energy, eV AES shift, eV
73.6
0.75
1391.2
-2.09
74.0
1.15
1388.9
-4.39
73.7
0.87
1387.9
-5.42
74.3
1.45
1387.7
-5.59
99.8
0.1
1617.4
1.20
99.6
-0.14
1617.2
1.00
101.9
2.2
1612.2
-4.00
103.4
3.7
1608.8
-7.40
107.1
7.4
1601.2
-15.00
110.2
10.47
1600.2
-16.04
347.3
1.4
292.5
-5.70
348.3
2.4
291.9
-6.30
347.9
2
288.9
-9.30
347.0
1.1
291.8
-6.40
454.6
0.6
418.2
-0.80
458.4
4.4
414.8
-4.20
462.6
8.6
409.8
-9.20
462.1
8.1
409.4
-9.60
707.1
0.15
707.4
0.45
702.9
0.50
708.1
1.15
706.7
-0.25
709.6
2.65
710.9
3.95
778.4
0.2
778.7
0.5
778.1
-0.1
780.4
2.2
781.3
3.1
781.9
3.7
766.8
-6.20
781.7
3.5
768.6
-4.40
783.6
5.4
768.3
-4.70
40.8
-0.7
1226
1.00
41.0
-0.5
41.0
-0.5
41.2
-0.3
1225
0.00
1225
0.00
43.0
1.5
1223.3
-1.70
43.4
1.9
1222
-3.00
44.9
3.4
1218.7
-6.30
46.1
4.6
1217.4
-7.60
44.3
2.8
1219.6
-5.40
43.5
2
1222.9
-2.10
368.7
0.42
357.7
-0.14
367.8
-0.48
357.3
-0.54
367.8
-0.48
357.4
-4.40
367.1
-1.18
357.2
-0.64
367.8
-0.48
356.7
-1.14
367.4
0.88
356.6
-1.24
368.0
0.28
356.1
-1.74
367.7
0.58
355.3
-2.54
367.3
0.98
355.6
-2.24
137.3
0.45
95.5
-0.80
137.6
0.8
94.8
-1.50
137.5
0.7
94.6
-1.70
137.3
0.45
92.9
-3.40
137.4
0.6
93.1
-3.20
138.0
1.15
92
-4.30
140.0
3.2
90.1
-6.15
138.7
1.85
91.1
-5.15
138.3
1.5
92.8
-3.50
138.0
1.2
92.6
-3.65
138.7
1.9
91.8
-4.45
138.6
1.75
93.4
-2.90
- 189 -
参考文献
[1] D. A. Shirley : Phys. Rev., B5 (1972) 4709
[2] S. Tougaard : Solid State Communication,
61 (1987) 547.
[3] たとえば,M. Jo : J. Surf. Anal., 9 (2002) 295.
[4] 中村誠, 菊地吉男, 吉田正道, 伴保隆 : 第 51 回応用物理学術講演会予稿集
(1990) 359.
[5] J. Vegh : J. Electron Spectroscopy and Related Phenomena, 46 (1988) 411
[6] S. Tanuma, C. J. Powell, D. R. Penn : J. Vac. Sci. Technol. A8 (1990) 2213 ;
J. Electron spectrosco. 52 (1990) 285 ; Surf. Interface Anal., 17 (1991) 927.
[7] J. M. Hill, D. G. Royce, C. S. Fadley, L. F. Wagner and F. J. Grunthaner:
Chem. Phys. Lett.Vol.44(1976)225.
[8] D. Briggs and M. P. Seah : Practical Surface Analysis by Auger and X-ray
Photoelectron Spectroscopy, (1983) John Wiley & Sons Ltd.
[9] 中村誠 : J. Surf. Anal., 7 (2000) 91.
[10] 関根哲,池尾信行,長沢勇二 : 日本学術振興協会マイクロビームアナリシス第
141 委員会第 82 回研究会資料№951.
[11] Tetsu Sekine, Nobuyuki Ikeo and Yuji Nagasawa : Appl. Surf. Sci., 100/101
(1996) 30.
- 190 -
[学術論文]
(1) Effect of silicon surface treatments on thin silicon nitride growth.
Makoto
Nakamura,
Yoshio
Kikuchi,
Masahiro
Kuwamura
and
Masamichi
Yoshida :Mat. Res. Soc. Symp. Proc., 284 (1993) 153
(2) シリコン表面処理が極薄窒化シリコン成長に及ぼす影響.
中村誠 : J. Surf. Anal., 2 (1996) 211
(3) 電子分光法による酸化シリコン測定時のダメージについて.
中村誠,岸田悟,鈴木峰晴,表面分析研究会 : 表面科学, 18 (1997) 21.
(4) The possibility of chemical state analysis for silicide using XPS.
Makoto Nakamura, SASJ-Electronic Materials Group : J. Surf. Anal., 5
(1999) 352.
(5) Study of electron irradiation damage factor for SiO2 films.
Makoto Nakamura and Electron Materials Group of SASJ : J. Surf. Anal.,
6 (1999) 38.
(6) Silicide formation process for source-drain depending on silicon substrate
types in advanced CMOS logic devices.
Makoto Nakamura, Atsuo Fushida, Tsutomu Iikawa : J. Korean Vac. Soc., 9
(2000) 125.
(7) サリサイドプロセスにおけるシリサイド化反応の基板導電型依存性.
中村誠 : J. Surf. Anal., 8 (2001) 9.
(8) 斜入射X線励起光電子分光法の検出限界とバックグラウンド低減量に関する検
討.
中村誠 : J. Surf. Anal., 8 (2001) 183.
(9) Study of capacitor material for advanced memory devices by surface analysis.
Makoto Nakamura, Masaaki Nakabayashi : J. Surf. Anal., 9 (2002) 424.
(10) Study of Hafnium related oxide thin films for advanced gate dielectrics
using surface analysis.
- 191 -
Makoto Nakamura, Akihiro Tanaka, David G. Watson, Masaru Shimomura, Yasuo
Fukuda, ShiQin Xiao and Kazuto Ikeda : J. Surf. Anal., 12 (2005) 263.
(11) 次世代デバイス用ゲート絶縁膜としてのハフニウム系薄膜の表面分析法を用
いた評価.
中村誠,下村勝,福田安生 : 静岡大学大学院電子科学研究科研究報告,27 (2006)
9.
[その他の論文]
(12)AES データを解析する.[講義資料]
中村誠 : J. Surf. Anal., 7 (2000) 91
(13)表面分析技術の進歩とこれからの表面分析.[PSA00 特別シンポジウム基調講演]
中村誠 : J. Surf. Anal., 7(2000) A66.
(14)オージェ電子分光法でシリコン熱酸化膜を測定する際の電子線ダメージ. (技術
報告)
中村誠,鈴木峰晴,電子材料分科会 : J. Surf. Anal., 2 (1996) 398.
(15)SiO2 表面清浄化とアルゴンイオン照射損傷. (技術報告)
中村誠,岸田悟,電子材料分科会 : J. Surf. Anal., 2 (1996) 403.
(16)表面分析(主に電子分光法)による半導体材料の評価.
中村誠 : アルバックファイ表面分析技術講演会要旨集, (2000) 12.
- 192 -
謝辞
本研究論文を纏め上げるにあたり,静岡大学電子工学研究所長の福田安生教授に全面的
なご指導,有益な討論,激励を賜りました。ここに同教授の暖かい御指導,御鞭撻に感謝
の意を表します。
本論文は,富士通株式会社及び株式会社富士通研究所,富士通分析ラボ株式会社に席を
置く中で,常に先端半導体デバイスの開発現場に携わった際の研究成果の一部を公の場で
発表してきた内容を中心に,静岡大学大学院電子工学研究科社会人特別選抜博士後期課程
電子材料科学専攻に在学中に同ナノデバイス材料部門ナノデバイスプロセス研究室におい
て纏め上げたものです。 本論文をまとめるにあたって,福田研究室の下村勝助手には数々
の有益な議論および実験における助言・協力をいただきましたことをここに深く感謝いた
します。 また,福田研究室の村上健司助教授及びナノビジョン工学部門の田部道晴教授,
工学部電気電子工学科の立岡浩一教授には有益なる助言いただきました。
本研究を進めるにあたり,富士通株式会社電子デバイス関連部門の伴保隆博士(旧先端技
術開発部長(現横浜国立大学教授)),吉田正道氏(旧先端技術開発部担当部長),伏田篤朗氏,
中林正明博士をはじめとするプロセス開発部門の諸兄の御協力に感謝の意を表します。 ま
た,株式会社富士通研究所の石川元旧常務取締役,土川春穂常務取締役,中村友二部長,
佐藤成生部長,奈良安雄部長,田中均部長,杉山芳弘部長,池田和人主管研究員及び肖石
琴博士をはじめとするゲート絶縁膜開発グループ各位の御協力に感謝いたします。
あわせて日頃から様々な研究活動に関して数々の有益な助言,叱咤激励を与えていただ
きました無限責任中間法人表面分析研究会の会長である田沼繁夫博士(独立行政法人物
質・材料研究機構),前会長の一村信吾博士(独立行政法人産業技術総合研究所),初代会長
の吉原一紘博士(旧独立行政法人物質・材料研究機構,現アルバック・ファイ株式会社顧問)
をはじめとする皆様に深く感謝いたします。 特にアルバック・ファイ株式会社の田中彰博
博士には,わが表面分析にかかわる研究生活の黎明期から,長きに渡ってなみなみならぬ
ご指導・御助言をいただきました事に謝意を表します。 また,静岡大学大学院電子工学研
究科社会人特別選抜博士後期課程への進学に際して多大なる後押しをしていただくととも
にき,多くの助言に賜りましたJFEテクノリサーチ株式会社の橋本哲博士に対してこの
場をお借りして深く感謝いたします。
最後に,私の研究活動を影から激励支援し,精神的な支えになってくれた妻の知代子と
両親に感謝の意を表します。
- 193 -