高前田(山崎)伸也 - Sites

高前田(山崎) 伸也
奈良先端科学技術大学院大学
情報科学研究科
助教
Email:
shinya at is.naist.jp
Web:
https://sites.google.com/site/shinyaty
専門分野
FPGA システム, メモリシステム, 高位合成, ネットワークオンチップ, プロセッサアーキテクチャ
経歴
職歴等
• 2014 年 4 月-現在 奈良先端科学技術大学院大学 情報科学研究科 助教
• 2011 年 4 月-2014 年 3 月 日本学術振興会 特別研究員 (DC1)
• 2013 年 6 月-7 月 カーネギーメロン大学 客員研究員
• 2011 年 6 月-8 月 株式会社 富士通研究所 インターンシップ
学歴
• 2014 年 3 月 東京工業大学 大学院情報理工学研究科 計算工学専攻 博士課程 修了, 博士(工学)
• 2011 年 3 月 東京工業大学 大学院情報理工学研究科 計算工学専攻 修士課程 修了, 修士(工学)
• 2009 年 3 月 東京工業大学 工学部 情報工学科 卒業, 学士(工学)
• 2007 年 4 月 東京工業大学 工学部 情報工学科 3 年次編入学
• 2007 年 3 月 福島工業高等専門学校 電気工学科 卒業, 準学士(工学)
発表文献
論文誌
1. Yoshikazu Inagaki, Shinya Takamaeda-Yamazaki, Jun Yao, and Yasuhiko Nakashima: Performance Evaluation of a 3D-Stencil Library for Distributed Memory Array Accelerators, IEICE Transactions, Vol.xx-x, xxx 2015. (accepted)
2. Shinya Takamaeda-Yamazaki, Hiroshi Nakatsuka, Yuichiro Tanaka, and Kenji Kise: Ultrasmall:
A Tiny Soft Processor Architecture with Multi-Bit Serial Datapaths for FPGAs, IEICE Transactions,
Vol.xx-x, xxx 2015. (accepted)
3. 高前田 伸也, 佐藤 真平, 藤枝 直輝, 三好 健文, 吉瀬 謙二: メニーコアアーキテクチャの HW 評価環
境 ScalableCore システム, 情報処理学会論文誌コンピューティングシステム, Vol.4, No.1, pp.24-42,
February 2011.
高前田(山崎) 伸也
2
国際会議(査読付き)
4. Masakazu Tanomoto, Shinya Takamaeda-Yamazaki, Jun Yao, and Yasuhiko Nakashima: A CGRAbased Approach for Accelerating Convolutional Neural Networks, IEEE 9th International Symposium
on Embedded Multicore/Many-core Systems-on-Chip (MCSoC-15), pp.xx-xx, September 2015. (to
appear)
5. Shinya Takamaeda-Yamazaki: Pyverilog: A Python-based Hardware Design Processing Toolkit
for Verilog HDL, 11th International Symposium on Applied Reconfigurable Computing (ARC 2015)
(Poster), Lecture Notes in Computer Science, Vol.9040/2015, pp.451-460, April 2015.
6. Shinya Takamaeda-Yamazaki and Kenji Kise: A Framework for Efficient Rapid Prototyping by
Virtually Enlarging FPGA Resources, 2014 International Conference on ReConFigurable Computing
and FPGAs (ReConFig 2014), December 2014.
7. Yoshikazu Inagaki, Shinya Takamaeda-Yamazaki, Jun Yao and Yasuhiko Nakashima: Performance
Evaluation of a 3D-Stencil Library for Distributed Memory Array Accelerators, International Workshop on Computer Systems and Architectures (CSA’14) (held in conjunction with CANDAR’14),
December 2014.
8. Shinya Takamaeda-Yamazaki and Kenji Kise: flipSyrup: Cycle-Accurate Hardware Simulation
Framework on Abstract FPGA Platforms, 24th International Conference on Field Programmable
Logic and Applications (FPL 2014) (Poster), September 2014.
9. Hiroshi Nakatsuka, Yuichiro Tanaka, Thiem Van Chu, Shinya Takamaeda-Yamazaki and Kenji
Kise: Ultrasmall: The Smallest MIPS Soft Processor, 24th International Conference on Field Programmable Logic and Applications (FPL 2014) (Poster), September 2014.
10. Shinya Takamaeda-Yamazaki, Kenji Kise and James C. Hoe: PyCoRAM: Yet Another Implementation of CoRAM Memory Architecture for Modern FPGA-based Computing, Workshop on the
Intersections of Computer Architecture and Reconfigurable Logic (CARL 2013) (Co-located with
MICRO-46), December 2013.
11. Ryohei Kobayashi, Shinya Takamaeda-Yamazaki and Kenji Kise: Towards a Low-Power Accelerator of Many FPGAs for Stencil Computations, Workshop on Challenges on Massively Parallel
Processors (CMPP 2012) (in conjunction with ICNC’12), pp.343-349, December 2012.
12. Takakazu Ikeda, Shinya Takamaeda-Yamazaki, Naoki Fujieda, Shimpei Sato and Kenji Kise: Read
Density Aware Fair Memory Scheduling (Performance Track Award), 3rd JILP Workshop on
Computer Architecture Competitions (JWAC-3): Memory Scheduling Championship (MSC) (in conjunction with ISCA-39), June 2012.
13. Shinya Takamaeda-Yamazaki, Shintaro Sano, Yoshito Sakaguchi, Naoki Fujieda and Kenji Kise:
ScalableCore System: A Scalable Many-core Simulator by Employing Over 100 FPGAs, 8th International Symposium on Applied Reconfigurable Computing (ARC 2012), Lecture Notes in Computer
Science, Vol.7199/2012, pp.138-150, March 2012.
14. Shinya Takamaeda-Yamazaki, Ryosuke Sasakawa, Yoshito Sakaguchi and Kenji Kise: An FPGA-
高前田(山崎) 伸也
3
based Scalable Simulation Accelerator for Tile Architectures, ACM COMPUTER ARCHITECTURE
NEWS, Vol.39, No.4, pp.38-43, September 2011 (International Workshop on Highly-Efficient Accelerators and Reconfigurable Technologies HEART2011, pp.35-40, June 2011).
15. Shinya Takamaeda, Shimpei Sato, Takefumi Miyoshi and Kenji Kise: SmartCore System for Dependable Many-core Processor with Multifunction Routers, International Conference on Networking
and Computing (ICNC’10), pp.133-139, November 2010.
16. Yuhta Wakasugi, Naoki Fujieda, Shinya Takamaeda and Kenji Kise: MipsCoreDuo: A Multifunction
Dual-core Processor, International Symposium on Intelligent Signal Processing and Communication
Systems (ISPACS), pp.587-590, December 2009.
17. Shinya Takamaeda, Shimpei Watanabe, Takefumi Miyoshi and Kenji Kise: ScalableCore: The Concept of Practical and Low-Cost Prototyping System for Many-Core Processor Research and Education,
The 4th Workshop on Architectural Research Prototyping (WARP 2009) (in conjunction with ISCA
2009), Austin, 2009-06-20 Presentation, June 2009.
国内会議(査読付き)
18. 笹河 良介, 藤枝 直輝, 高前田(山崎) 伸也, 吉瀬 謙二: ネットワークオンチップにおける仮想チャネル
利用法の再考と評価, 先進的計算基盤システムシンポジウム SACSIS2013 論文集, 於 仙台国際センター,
pp.170-178, May 2013.
19. 小林 諒平, 高前田(山崎) 伸也, 吉瀬 謙二: 多数の小容量 FPGA を用いたスケーラブルなステンシル計算
機の開発, 先進的計算基盤システムシンポジウム SACSIS2013 論文集, 於 仙台国際センター, pp.179-187,
May 2013.
20. 小林 諒平, 佐野 伸太郎, 高前田(山崎) 伸也, 吉瀬 謙二: メッシュ接続 FPGA アレーにおける高性能ステ
ンシル計算, 先進的計算基盤システムシンポジウム SACSIS2012 論文集, 於 神戸国際会議場, pp.142-149,
2012 年 5 月 17 日発表, May 2012.
21. 高前田 伸也, 渡邉 伸平, 姜 軒, 植原 昂, 藤枝 直輝, 三好 健文, 吉瀬 謙二: メニーコアアーキテクチャの
HW 評価環境 ScalableCore システムの開発, 先進的計算基盤システムシンポジウム SACSIS2010 論文集,
於 奈良県新公会堂, pp.287-294, 2010 年 5 月 28 日発表, May 2010.
22. 植原 昂, 佐藤 真平, 高前田 伸也, 渡邉 伸平, 吉瀬 謙二: メニーコアプロセッサの HW/SW 研究開発を加
速する実用的な基盤環境, 先進的計算基盤システムシンポジウム SACSIS2009 論文集, 於 広島国際会議場,
pp.199-207, 2009 年 5 月 29 日発表, May 2009.
研究会
23. 竹内 昌平, Tran Thi Hong, 高前田 伸也, 中島 康彦: グラフ処理向け CGRA in Cache の提案, 電子情報通
信学会研究会報告 CPSY2015-xx, 於 明治大学, pp.xx-xx, 2015 年 4 月 17 日発表, April 2015.
24. 枝元 正寛, Tran Thi Hong, 高前田 伸也, 中島 康彦: 非定型計算を高速化するニアメモリ処理アーキテク
チャ, 電子情報通信学会研究会報告 CPSY2015-xx, 於 明治大学, pp.xx-xx, 2015 年 4 月 17 日発表, April
高前田(山崎) 伸也
4
2015.
25. 金川宗一郎, Tran Thi Hong, 高前田 伸也, 中島 康彦: GPS 付なくしもの探知機のプロトタイプ開発, 電子
情報通信学会研究会報告 CPSY2015-xx, 於 明治大学, pp.xx-xx, 2015 年 4 月 17 日発表, April 2015.
26. 竹内 昌平, 高前田 伸也, 姚 駿, 中島 康彦: 次世代アプリケーションのための包括的なアーキテクチャ探索
環境の検討, 電子情報通信学会研究会報告 CPSY2014-89, 於 機会振興会館, pp.25-27, 2014 年 12 月 1 日発
表, December 2014.
27. 枝元 正寛, 高前田(山崎) 伸也, 姚 駿, 中島 康彦: データムービングボトルネックを解決するためのイ
ンテリジェントメモリシステムの検討, 電子情報通信学会研究会報告 CPSY2014-91, 於 機会振興会館,
pp.31-33, 2014 年 12 月 1 日発表, December 2014.
28. 平野 竜洋, 高前田(山崎) 伸也, 姚 駿, 中島 康彦: Triangle Counting のための大規模グラフ分割手法, 電
子情報通信学会研究会報告 CPSY2014-73, 於 別府国際コンベンションセンター, pp.7-12, 2014 年 11 月 26
日発表, November 2014.
29. 紅林 修斗, 高前田(山崎) 伸也, 姚 駿, 中島 康彦: 最短経路探索の並列化と各種プラットホームによる性
能比較, 電子情報通信学会研究会報告 CPSY2014-74, 於 別府国際コンベンションセンター, pp.13-18, 2014
年 11 月 26 日発表, November 2014.
30. 清水 怜, 田ノ元 正和, 高前田(山崎) 伸也, 姚 駿, 中島 康彦: メモリネットワークベースアクセラレータの
試作と評価, 電子情報通信学会研究会報告 CPSY2014-81, 於 別府国際コンベンションセンター, pp.51-56,
2014 年 11 月 28 日発表, November 2014.
31. 田ノ元 正和, 高前田(山崎) 伸也, 姚 駿, 中島 康彦: メモリネットワークベースアクセラレータを用いた
畳み込みニューラルネットワーク処理, 電子情報通信学会研究会報告 CPSY2014-82, 於 別府国際コンベン
ションセンター, pp.57-62, 2014 年 11 月 28 日発表, November 2014.
32. 高前田(山崎) 伸也, 枝元 正寛, 姚 駿, 中島 康彦: PyCoRAM を用いたグラフ処理 FPGA アクセラレー
タ, 電子情報通信学会研究報告 CPSY2014-10, 於 朱鷺メッセ新潟コンベンションセンター, pp.1-6, 2014
年 7 月 28 日発表, July 2014.
33. 清水 怜, 高前田(山崎) 伸也, 姚 駿, 中島 康彦: メモリインテンシブアレイアクセラレータを用いた高
性能グラフ処理, 電子情報通信学会研究報告 CPSY2014-11, 於 朱鷺メッセ新潟コンベンションセンター,
pp.7-12, 2014 年 7 月 28 日発表, July 2014.
34. 小池 和正, 高前田(山崎) 伸也, 姚 駿, 中島 康彦: ニューラルネットワーク処理のエラー削減に向けた
命令実行手法, 電子情報通信学会研究報告 CPSY2014-33, 於 朱鷺メッセ新潟コンベンションセンター,
pp.137-142, 2014 年 7 月 30 日発表, July 2014.
35. 高前田(山崎) 伸也, 吉瀬 謙二: メモリ抽象化フレームワーク PyCoRAM を用いたソフトプロセッサ混載
FPGA アクセラレータの開発 (The 1st IPSJ SIG-ARC High-Performance Processor Design
Contest 学生部門 準優勝), 情報処理学会研究報告 2014-ARC-208, No.8, 於 東京工業大学, pp.1-4, 2014
年 1 月 23 日発表, January 2014.
36. 高前田(山崎) 伸也, 吉瀬 謙二: FPGA プロトタイピング向けメモリ管理フレームワークの開発, 電子情報
通信学会研究報告 RECONF2013-35, 於 北陸先端科学技術大学院大学, pp.91-96, 2013 年 9 月 19 日発表,
September 2013.
37. 高前田(山崎) 伸也, 吉瀬 謙二: RTL 静的解析による FPGA アクセラレータ向けアプリケーション特化メ
高前田(山崎) 伸也
5
モリプリフェッチャー, 情報処理学会研究報告 2013-ARC-204, No.1, 於 和歌山県立情報交流センタービッ
グ・ユー, pp.1-5, 2013 年 3 月 26 日発表, March 2013.
38. 小林 諒平, 高前田(山崎) 伸也, 吉瀬 謙二: メッシュ接続 FPGA アレーを用いた高性能ステンシル計算機
の設計と実装, 電子情報通信学会研究報告 RECONF2013, 於 慶応義塾大学 日吉キャンパス, pp.159-164,
2013 年 1 月 17 日発表, January 2013.
39. Shinya Takamaeda-Yamazaki, Naoki Fujieda and Kenji Kise: Network Performance of Multifunction On-chip Router Architectures, IEICE Technical Report CPSY2012-52, Kyushu University,
November 2012.
40. 笹河 良介, 藤枝 直輝, 高前田(山崎) 伸也, 吉瀬 謙二: ネットワークオンチップにおける仮想チャネル
利用法の再考, 電子情報通信学会研究報告 CPSY2012-51, 於 九州大学百年講堂, 2012 年 11 月 27 日発表,
November 2012.
41. 池田 貴一, 高前田(山崎) 伸也, 吉瀬 謙二: ロード命令のプログラムカウンタを用いたメモリスケジュー
リング手法, 情報処理学会研究報告 2012-ARC-201, 於 とりぎん文化会館, pp.1-8, 2012 年 08 月 01 日発表,
August 2012.
42. 高前田(山崎) 伸也, 佐藤 真平, 吉瀬 謙二: 高機能ルータを利用した DMR 実行メニーコアにおける効率
的なタスク配置手法の検討, 情報処理学会研究報告 2011-ARC-199, 於 長崎大学, 2012 年 3 月 27 日発表,
March 2012.
43. 高前田(山崎) 伸也, 吉瀬 謙二: DMA ベースメニーコアにおける通信オーバーヘッド削減手法, 情報処
理学会研究報告 2011-ARC-196, 於 かごしま県民交流センター, pp.1-6, 2011 年 7 月 27 日発表, August
2011.
44. 高前田 伸也, 笹河 良介, 吉瀬 謙二: FPGA によるメニーコアシミュレータ ScalableCore システムの正
当性検証, 電子情報通信学会研究報告 RECONF, 於 慶應義塾大学, pp.187-192, 2011 年 1 月 18 日発表,
January 2011.
45. 坂口 嘉一, 高前田 伸也, 吉瀬 謙二: ScalableCore システム 2.0 の実装と評価, 電子情報通信学会研究報告
RECONF, 於 静岡大学, pp.121-126, 2010 年 9 月 17 日発表, September 2010.
46. 坂口 嘉一, モッハマドアスリ, 高前田 伸也, 金子 晴彦, 吉瀬 謙二: 誤り訂正符号を用いた軽量な高速シリア
ル通信機構の実装と評価, 電子情報通信学会研究報告 CPSY2010-19, 於 金沢市文化ホール, pp.67-72, 2010
年 8 月 4 日発表, August 2010.
47. 佐野 正浩, 高前田 伸也, 芝 哲史, 曹 哲, 伊藤 宗平, 川合 秀実, 笹田 耕一, 吉瀬 謙二: Mieru システムソフト
ウェア, 情報処理学会研究報告 2010-ARC-189, 於 ラフォーレ伊東, pp.1-9, 2010 年 4 月 22 日発表, April
2010.
48. 高前田 伸也, 渡邉 伸平, 姜 軒, 藤枝 直輝, 植原 昂, 三好 健文, 吉瀬 謙二: メニーコアアーキテクチャ研究
のためのスケーラブルな HW 評価環境 ScalableCore システム, 情報処理学会研究報告 2009-ARC-185, 於
東京工業大学, pp.1-10, 2009 年 10 月 26 日発表, November 2009.
49. 渡邉 伸平, 高前田 伸也, 姜 軒, 三好 健文, 吉瀬 謙二: 小容量 FPGA によるスケーラブルなシステム評価環
境の構築手法, 電子情報通信学会研究報告 RECONF2009-31, 於 宇都宮大学, pp.73-78, 2009 年 9 月 18 日
発表, September 2009.
50. 若杉 祐太, 佐藤 真平, 植原 昂, 藤枝 直輝, 渡邉 伸平, 高前田 伸也, 森 洋介, 吉瀬 謙二: 極めて低コストで
高前田(山崎) 伸也
6
効率的な VDEC チップ試作・検証システムの開発と応用, 情報処理学会研究報告 2009-ARC-183, 於 沖縄
県青年会館, pp.1-8, 2009 年 4 月 22 日発表, April 2009.
51. 渡邉 伸平, 藤枝 直輝, 若杉 祐太, 高前田 伸也, 森 洋介, 吉瀬 謙二: MIPS システムシミュレータ SimMips
を活用した組込みシステム開発の検討, 情報処理学会研究報告 2008-EMB-10, 於 キャンパスプラザ京都,
pp.23-28, November 2008.
52. 植原 昂, 佐藤 真平, 森谷 章, 藤枝 直輝, 高前田 伸也, 渡邉 伸平, 三好 健文, 小林 良太郎, 吉瀬 謙二: シン
プルで効率的なメニーコアアーキテクチャの開発, 情報処理学会研究報告 2008-ARC-180, 於 二日市温泉,
pp.39-44, October 2008.
全国大会・ポスター発表等(査読なし)
53. Shohei Takeuchi, Thi Hong Tran, Shinya Takamaeda, Yasuhiko Nakashima: A Parameterized Many
Core Simulator for Design Space Exploration (Featured Poster Award), IEEE Symposium on LowPower and High-Speed Chips 2015 (COOL Chips XVIII) (Poster), Yokohama Japan, April 2015.
54. Oliver Kaltstein, Shinya Takamaeda-Yamazaki, Jun Yao and Yasuhiko Nakashima: DIVA-EMIN:
Efficient Dependability for Post-Silicon Materials, 2014 年度 情報処理学会関西支部 支部大会, 於 大阪大
学中之島センター, September 2014.
55. 田ノ元 正和, 枝元 正寛, 竹内 昌平, 高前田(山崎) 伸也: IP コア開発フレームワーク PyCoRAM を用い
た HW/SW 協調 FPGA アクセラレータの開発 (第 2 回 ARC/CPSY/RECONF 高性能コンピュータシス
テム設計コンテスト プロセッサ設計部門 3 位入賞), 情報処理学会 FIT2014 情報科学技術フォーラム, 於
筑波大学 筑波キャンパス, September 2014.
56. 高前田(山崎)伸也, 吉瀬 謙二: FPGA ベースアクセラレータ向けメモリプリフェッチ機構の検討, 情報処
理学会第 75 回全国大会, 於 東北大学 川内キャンパス, 2013 年 3 月 6 日発表, March 2013.
57. Ryohei Kobayashi, Shinya Takamaeda-Yamazaki and Kenji Kise: Design of Synchronization Mechanism to Conquer the Clock Oscillator Variation for High Performance Stencil Computation Accelerator, The 75th IPSJ National Convention, Tohoku University, March 2013.
58. 高前田(山崎)伸也, 吉瀬 謙二, 佐藤 充: 集約光インターコネクトにおける高性能トラフィックスケジュー
ラ, 情報処理学会第 74 回全国大会, 於 名古屋工業大学 御器所キャンパス, 2012 年 3 月 7 日発表, March
2012.
59. 笹河 良介, 高前田 伸也, 藤枝 直輝, 吉瀬 謙二: ScalableCore システムの挙動検証 ∼ソフトウェアシミュ
レータと比較して∼, 先進的計算基盤システムシンポジウム SACSIS2011 論文集, 於 秋葉原コンベンショ
ンホール, pp.262-263, 2011 年 5 月 26 日発表, May 2011.
60. 高前田 伸也, 吉瀬 謙二: HW メニーコアシミュレータ ScalableCore システムの高速化 (情報処理学会 第
73 回全国大会 学会推奨修士論文), 情報処理学会第 73 回全国大会, 於 東京工業大学 大岡山ャンパス, 2011
年 3 月 2 日発表, March 2011.
61. Shimpei Sato, Shinya Takamaeda and Kenji Kise: DMR mode of SmartCore system, IEEE Pacific
Rim International Symposium on Dependable Computing (PRDC’10), National Institute of Informatics Japan, December 15, 2010 Presentation, December 2010.
高前田(山崎) 伸也
7
62. 高前田 伸也, 佐藤 真平, 三好 健文, 吉瀬 謙二: メニーコアアーキテクチャの HW 評価環境 ScalableCore
システムの活用 ∼ディペンダブルプロセッサの実装∼ (優秀ポスター賞), 先進的計算基盤システムシンポ
ジウム SACSIS2010 論文集, 於 奈良県新公会堂, pp.115-116, 2009 年 5 月 27 日発表, May 2010.
63. 高前田 伸也, 吉瀬 謙二: メニーコアプロセッサにおけるコア間通信レイテンシ隠蔽手法の検討, 情報処理
学会第 72 回全国大会, 東京大学 本郷キャンパス, Vol.1, No. 2M-6, pp.173-174, 2010 年 3 月 9 日発表,
March 2010.
64. 渡邉 伸平, 高前田 伸也, 姜 軒, 三好 健文, 吉瀬 謙二: メニーコアプロセッサ向けプロトタイピングシス
テムの高速化, 情報処理学会第 72 回全国大会, 東京大学 本郷キャンパス, Vol.1, No. 4M-7, pp.205-206,
2010 年 3 月 10 日発表, March 2010.
65. 姜 軒, 高前田 伸也, 渡邊 伸平, 三好 健文, 吉瀬 謙二: マルチプロセッサシステムにおけるルータの実装と
評価, 情報処理学会第 72 回全国大会, 東京大学 本郷キャンパス, Vol.1, No. 2M-5, pp.171-172, 2010 年 3
月 9 日発表, March 2010.
66. 佐野 正浩, 高前田 伸也, 藤枝 直輝, 吉瀬 謙二: 計算機システムとソフトウェアシミュレータと組込みソフ
トウェアの三位一体開発のすすめ, 組込みシステムシンポジウム 2009, 於 国立オリンピック記念青少年総
合センター, October 2009.
67. 高前田 伸也, 渡邉 伸平, 吉瀬 謙二: メニーコアプロセッサの高速プロトタイピングシステム ScalableCore,
先進的計算基盤システムシンポジウム SACSIS2009 論文集, 於 広島国際会議場, pp.145-146, 2009 年 5 月
28 日発表, May 2009.
68. Shinya Takamaeda, Shimpei Watanabe, Shimpei Sato, Koh Uehara, Yuhta Wakasugi, Naoki Fujieda, Yosuke Mori and Kenji Kise: ScalableCore : High-Speed Prototyping System for Many-Core
Processors, IEEE International Symposium on Low-Power and High-Speed Chips (COOL Chips 2009),
Yokohama Japan, p.161, 2009-04-16 Poster Short Speech, April 2009.
69. 高前田 伸也, 渡邉 伸平, 吉瀬 謙二: メニーコアプロセッサの高速プロトタイピングシステム ScalableCore
の提案 (学生奨励賞), 情報処理学会第 71 回全国大会, 於 立命館大学びわこ・くさつキャンパス, No. 3K-1,
pp.91-92, March 2009.
70. 高前田 伸也: これが中身が見える計算機システム MieruPC-2008 だ! (三菱電機 Changes for the
better 賞), The 5th IEEE Tokyo Young Researchers Workshop, December 2008.
71. 吉瀬 謙二, 佐藤 真平, 森谷 章, 藤枝 直輝, 若杉 祐太, 渡邉 伸平, 植原 昂, 森 洋介, 高前田 伸也, 高橋 朝英,
棟岡 朋也, 山田 裕介, 権藤 克彦, 小林 良太郎, 三好 健文, 中條 拓伯: MieruPC プロジェクト: 中身が見え
る計算機システムを構築する研究・教育プロジェクト (最優秀ポスター賞), コンピュータシステム・シンポ
ジウム (ComSys2008), 於 キャンパス・イノベーションセンター東京, November 2008.
講演
1. 高前田 伸也: チュートリアル, 若手による高性能コンピュータシステムの最新動向解説「FPGA・リコン
フィギャラブルシステム研究の最新動向」, 2015 年電子情報通信学会 総合大会, 於 立命館大学, 2015 年 3
月 11 日, March 2015.
2. 高前田 伸也: チュートリアル, PyCoRAM はゲームソルバーの夢を見るか? ∼MicroBlaze & AXI4 IP コ
高前田(山崎) 伸也
8
ア開発入門∼, 人工知能とハードウェア・ソフトウェア協調設計ワークショップ, 於 宜野湾マリン支援セン
ター, 2015 年 3 月 5 日, March 2015.
3. 高前田(山崎) 伸也: チュートリアル, PyCoRAM による Python を用いたポータブルな FPGA アクセ
ラレータ開発, 組み込みシステムシンポジウム 2014 (ESS2014), 於 国立オリンピック記念青少年センター,
2014 年 10 月 22 日, October 2014.
4. Shinya Takamaeda-Yamazaki: A High Performance Heterogeneous FPGA-based Accelerator with
PyCoRAM (Runner Up Award), Digilent Design Contest 2014 Japan Region, Yokohama, Japan,
February 2014.
5. 高前田(山崎) 伸也, 宮島 敬明: モデレータ, 学生・教員のちょっと聞いてみてもいいですか? ∼言いた
いことも言えないこんな研究室じゃ∼, SWoPP2012 鳥取 BoF-1, 於 とりぎん文化会館, 2012 年 8 月 1 日,
August 2012.
6. 高前田(山崎) 伸也: パネリスト, FPGA システムを作るといいことある (かも?), SWoPP2011 鹿児島
BoF-2 スクラッチからシステムを作ろう -チップから OS まで- パネルディスカッション, 於 かごしま県民
交流センター, 2011 年 7 月 29 日発表, July 2011.
7. 高前田 伸也: 多数の FPGA を活用する ScalableCore システムのすすめ, SWoPP2010 金沢 BoF-2 シンプ
ルハードウェアがもたらす計算機システム研究/教育の新展開, 於 金沢市文化ホール, 2010 年 8 月 5 日発
表, August 2010.
8. 高前田 伸也: あなたの知らないコンピュータアーキテクチャの研究について教えます!, SWoPP2009 仙台
BoF-3, 2009 年 8 月 6 日発表, August 2009.
学位論文
1. 博士論文: Multi-FPGA based Prototyping Framework for Emerging Manycores (情報処理学会 計算機
アーキテクチャ研究会 推薦博士論文), Graduate School of Information Science and Engineering, Tokyo
Institute of Technology, February 2014.
2. 修士論文: メニーコア時代の HW/SW 研究を加速するスケーラブルシステム (情報処理学会 第 73 回全国
大会 学会推奨修士論文), 東京工業大学 大学院情報理工学研究科 計算工学専攻 吉瀬研究室, January 2011.
3. 学士論文: メニーコアプロセッサの高速プロトタイピングシステム ScalableCore, 東京工業大学 工学部情
報工学科 吉瀬研究室, January 2009.
4. 準学士論文: 地震の前兆現象に関する研究, 福島工業高等専門学校 電気工学科 大槻研究室, March 2007.
著書
1. 三好 健文, 高前田 伸也: ソフトウェア技術者のための FPGA 入門 第 5 章 VHDL/Verilog HDL の基本プ
ログラム集, CQ 出版社 Interface 2009 年 9 月号, pp.110-118, August 2009.
高前田(山崎) 伸也
9
受賞
1. Shohei Takeuchi, Thi Hong Tran, Shinya Takamaeda, Yasuhiko Nakashima: Featured Poster Award,
A Parameterized Many Core Simulator for Design Space Exploration, IEEE Symposium on Low-Power
and High-Speed Chips 2015 (COOL Chips XVIII) (Poster), Yokohama Japan, April 2015.
2. 田ノ元 正和, 枝元 正寛, 竹内 昌平, 高前田(山崎) 伸也: 第 2 回 ARC/CPSY/RECONF 高性能コン
ピュータシステム設計コンテスト プロセッサ設計部門 3 位入賞, IP コア開発フレームワーク PyCoRAM
を用いた HW/SW 協調 FPGA アクセラレータの開発, 情報処理学会 FIT2014 情報科学技術フォーラム,
September 2014.
3. 高前田(山崎) 伸也: 情報処理学会 研究会推薦博士論文(計算機アーキテクチャ研究会), Multi-FPGA
based Prototyping Framework for Emerging Manycores, August 2014.
4. Shinya Takamaeda-Yamazaki: Runner Up, A High Performance Heterogeneous FPGA-based Accelerator with PyCoRAM, Digilent Design Contest 2014 Japan Region, February 2014.
5. 高前田(山崎) 伸也: The 1st IPSJ SIG-ARC High-Performance Processor Design Contest 学生部門 準
優勝, メモリ抽象化フレームワーク PyCoRAM を用いたソフトプロセッサ混載 FPGA アクセラレータの
開発, 情報処理学会 計算機アーキテクチャ研究会, 2014 年 1 月 23 日, January 2014.
6. Takakazu Ikeda, Shinya Takamaeda-Yamazaki, Naoki Fujieda, Shimpei Sato and Kenji Kise: Performance Track Award, Read Density Aware Fair Memory Scheduling, 3rd JILP Workshop on Computer Architecture Competitions (JWAC-3): Memory Scheduling Championship (MSC) (in conjunction with ISCA-39), June 2012.
7. 高前田 伸也: 情報処理学会 第 73 回全国大会 学会推奨修士論文, メニーコア時代の HW/SW 研究を加速す
るスケーラブルシステム, March 2011.
8. 高前田 伸也, 佐藤真平, 三好健文, 吉瀬謙二: 先進的計算基盤システムシンポジウム SACSIS2010 優秀ポス
ター賞, メニーコアアーキテクチャの HW 評価環境 ScalableCore システムの活用 ∼ディペンダブルプロ
セッサの実装∼, May 2010.
9. 高前田 伸也: 情報処理学会第 71 回全国大会 学生奨励賞, メニーコアプロセッサの高速プロトタイピングシ
ステム ScalableCore の提案, March 2009.
10. 高前田 伸也: The 5th IEEE Tokyo Young Researchers Workshop 三菱電機 Changes for the better 賞,
これが中身が見える計算機システム MieruPC-2008 だ!, December 2008.
11. 吉瀬 謙二, 佐藤 真平, 森谷 章, 藤枝 直輝, 若杉 祐太, 渡邉 伸平, 植原 昂, 森 洋介, 高前田 伸也, 高橋 朝英,
棟岡 朋也, 山田 裕介, 権藤 克彦, 小林 良太郎, 三好 健文, 中條 拓伯: コンピュータシステム・シンポジウ
ム (ComSys2008) 最優秀ポスター賞, MieruPC プロジェクト: 中身が見える計算機システムを構築する研
究・教育プロジェクト, November 2008.
高前田(山崎) 伸也
10
学会活動
研究会運営委員
1. 電子情報通信学会 コンピュータシステム研究会 (CPSY), 幹事補佐, 2015 年度2. 電子情報通信学会 集積回路研究会 (ICD), 学生 TPC, 2011 年度-2012 年度
国際会議委員
1. International Symposium on Computing and Networking (CANDAR), Program Committee Member,
2014 - 2015
2. International Workshop on Computer Systems and Architectures (CSA), Program Committee Member, 2015
3. International Workshop on Advances in Networking and Computing (WANC), Program Committee
Member, 2015
4. IEEE International Conference on Field-Programmable Technology (ICFPT), Program Committee
Member, 2014
研究助成
1. 公益財団法人マツダ財団 研究助成, 研究代表者, FPGA アクセラレータの性能と開発容易性を両立するマ
ルチパラダイム設計フレームワーク, 1200 千円, 2014 年度-2016 年度
2. 日本学術振興会 特別研究員奨励費, 研究代表者, メニーコア時代の HW/SW 研究を加速するスケーラブル
な HW シミュレータの開発, 1900 千円, 2011 年度-2013 年度
担当講義
1. リコンフィギャラブルコンピューティング (英語開講), 奈良先端科学技術大学院大学 情報科学研究科, 2015
年度-
2. コンピューティングアーキテクチャ特論 1, 奈良先端科学技術大学院大学 情報科学研究科, 2014 年度3. コンピューティングアーキテクチャ特論 2, 奈良先端科学技術大学院大学 情報科学研究科, 2014 年度4. コンピューティングアーキテクチャ特論 3, 奈良先端科学技術大学院大学 情報科学研究科, 2014 年度5. コンピューティングアーキテクチャ特論 4, 奈良先端科学技術大学院大学 情報科学研究科, 2014 年度6. ハードウェア設計論 1 (英語開講), 奈良先端科学技術大学院大学 情報科学研究科, 2014 年度
Last updated: 2015 年 7 月 7 日