ハードウェアマニュアル

ROBin(ロビン)シリーズ
MP-RZA1H/FPGA-01
MP-RZA1H/FPGA-01
ハードウェアマニュアル Rev1.00
2015.05
商品説明ホームページ
URL:http://www.robin-w.com/
製造元:有限会社テクノネット
販売元:エーワン株式会社
MP-RZA1H/FPGA-01
ROBin(ロビン)シリーズ
改定履歴
バージョン
Rev1.00
日付
2015/05/01
内容
初版
備考
ROBin(ロビン)シリーズ
MP-RZA1H/FPGA-01
付属品
1.電 源 ハーネス
2.CD
・マニュアル
・回 路 図
・ユーザー登 録
取 り扱 い上 の注 意
・定 格 を超 える電 源 を加 えないで下 さい。
・異 常 な発 熱 や発 煙 、発 火 等 があった場 合 には直 ちに電 源 を切 ってください。
・人 命 に関 わる製 品 には使 用 しないで下 さい。
・製 品 仕 様 および外 観 は予 告 なく変 更 することがあります。
保証期間
本製品の保証期間はお買い上げから1年間です。
製品出荷検査は最善を尽くしておりますが、万一、製品の初期不良の場合は、新品交換にて対応いたします。
ただし、不良解析は致しませんのでご了承願います。
この保証は最初のご購入者ご本人にのみ適用され、お客様が転売、貸し出しされた第三者には適用されません。
また、保証期間においても以下の場合は有料修理となります。
・火災、地震、その他の天災地変および異常電圧による故障、損傷
・誤用、乱用および取り扱いの不良による故障,損傷
・お客様による修理、改造による故障、損傷
製 品 サポート
本製品のサポート期間はお買い上げから1年間です。
製品サポートについては、E メールでのみ受け付けております。
以下の内容に該当するお問い合わせにつきましては受け付けておりませんのでご了承願います。
・本 基 板 の各 ICの仕 様 、回 路 構 成 およびユーザ回 路 の設 計 方 法 等 に関 するご質 問
・他社メーカーのツール類に関するご質問
・その他、本製品の仕様範囲外のご質問
サポート外で調査等をご希望の場合は、ご相談により有償で承ります。
ROBin(ロビン)シリーズ
MP-RZA1H/FPGA-01
免責
弊社は、お客様の損害について下記に該当する損害も含め、一切その責任を負わないものとします。
・直接損害およびお客様の得るであろう利益の損失もしくはその他の間接的な損害または付随的損害
・お客様または第 三 者 の故 意 または過 失 、あるいは不 可 抗 力 により発 生 した損 害
・高度医療機器、軍事機器、原子力機器、宇宙航空関連機器、人命に関わる機器や高度の信頼性・安全性が要求される機器、
長時間連続して稼動させる機器に使用したことによる損害
・第三者の著作権、特許権、実用新案権、意匠権、回路配置利用権、商標その他の知的財産権およびその他の権利侵害に
基づき生じた損害
・輸出規制の違反または取扱いに起因する損害
参考資料
・「RZ/A1H グループ ユーザーズマニュアル ハードウェア編」 ルネサス エレクトロニクス株式会社
・「Cyclone IV Device Handbook」 アルテラコーポレーション
・「Renesas RZ/A1H 用サンプル(ベアメタル版)の説明」 エーワン株式会社
商標
・RZ および RZ/A1H は、ルネサス エレクトロニクス株式会社の登録商標、または商品名です。
・その他の会社名、製品名は、各社の登録商標または商標です。
MP-RZA1H/FPGA-01
ROBin(ロビン)シリーズ
1.
2.
目次
概要 .................................................................................................................................................................................................................... 1
特長 .................................................................................................................................................................................................................... 1
2.1.
2.2.
2.3.
2.4.
2.5.
2.6.
2.7.
2.8.
2.9.
2.10.
3.
4.
5.
6.
仕様概要 .......................................................................................................................................................................................................... 2
外観図 ............................................................................................................................................................................................................... 3
ブロック図......................................................................................................................................................................................................... 4
メモリマップ...................................................................................................................................................................................................... 5
6.1.
6.2.
6.3.
7.
本ボードのメモリマップおよび設定内容....................................................................................................................... 5
L1キャッシュとL2キャッシュについて ......................................................................................................................... 6
FPGA空間のBSC設定 ............................................................................................................................................ 7
FPGA用サンプルI/Oロジック............................................................................................................................................................. 9
7.1.
7.2.
7.3.
8.
9.
MCU ....................................................................................................................................................................... 1
FPGA..................................................................................................................................................................... 1
FPGAコンフィグレーション・デバイス .......................................................................................................................... 1
FlashROM............................................................................................................................................................. 1
FRAM .................................................................................................................................................................... 1
USB ...................................................................................................................................................................... 1
電源電圧監視.......................................................................................................................................................... 1
電源投入時のFPGAダウンロード .............................................................................................................................. 1
デバッグ用ファーム(無償) ........................................................................................................................................ 1
FPGA用サンプルI/Oロジック(無償) ........................................................................................................................ 1
アドレス空間 ............................................................................................................................................................ 9
FPGA I/O入 出 力 用 レジスタマップ ..................................................................................................................... 9
I/Oレジスタ詳細................................................................................................................................................... 10
I/O割付け ...................................................................................................................................................................................................12
コネクタマップ ...............................................................................................................................................................................................16
9.1.
9.2.
9.3.
9.4.
9.5.
9.6.
9.7.
9.8.
9.9.
CN1:電源コネクタ (B2P-SHF-1AA:日 圧 )........................................................................................................... 16
CN2:拡張コネクタ (HIF3H-60PB-2.54DSA(71):ヒロセ)........................................................................................... 16
CN3:拡張コネクタ (HIF3H-60PB-2.54DSA(71):ヒロセ) ............................................................................................ 17
CN4:拡張コネクタ (HIF3H-50PB-2.54DSA(71):ヒロセ) ............................................................................................ 17
CN5:拡張コネクタ (HIF3H-20PB-2.54DSA(71):ヒロセ)........................................................................................... 18
CN6:拡張コネクタ(HIF3H-20PB-2.54DSA(71):ヒロセ).............................................................................................. 18
CN7:マイコンデバッガコネクタ (XG4C-2031:オムロン) ............................................................................................... 18
CN8:USB(miniB)コネクタ (XM7D-514:オムロン) ...................................................................................................... 18
CN9:FPGA JTAGコネクタ (XG4C-1031:オムロン)................................................................................................... 19
10. 機能 ..................................................................................................................................................................................................................20
10.1.
10.2.
10.3.
10.4.
10.5.
10.6.
10.7.
10.8.
10.9.
RZ/A1Hのモード設定.......................................................................................................................................... 20
リセット .................................................................................................................................................................. 20
電源電圧監視........................................................................................................................................................ 20
アナログリファレンス電源 内部+3.3Vと外部Vrefの切替え ..................................................................................... 21
シリアルFlashROM............................................................................................................................................... 21
FRAM .................................................................................................................................................................. 21
USB .................................................................................................................................................................... 21
LED ..................................................................................................................................................................... 21
スイッチ ................................................................................................................................................................. 22
11. 外部インタフェース.....................................................................................................................................................................................23
11.1.
11.2.
拡張コネクタ .......................................................................................................................................................... 23
USBバスパワー .................................................................................................................................................... 24
12. 外形寸法図 ...................................................................................................................................................................................................25
13. 回路図 .............................................................................................................................................................................................................25
14. 関連製品 ........................................................................................................................................................................................................26
14.1.
14.2.
14.3.
14.4.
評価ボード(EV-RX/RZ-01) ........................................................................................................................... 26
評価ボード(EV-RZ-01:開発中)........................................................................................................................ 26
RZ単体 MCU ボード (MP-RZA1H-01:開発中) ............................................................................................... 27
RZ単体 MCU ボード コネクタ変換基板 (CNV-RZA1H-01:開発中)............................................................... 27
MP-RZA1H/FPGA-01
ROBin(ロビン)シリーズ
1. 概要
・ MP-RZA1H/FPGA-01 は、ルネサス製 マイクロコンピュータ RZ/A1H(ARM Cortex-A9)と 、
アルテラ製 FPGA Cyclone Ⓡ IV を搭 載 した、汎 用 小 型 マイコン +FPGA ボードです。
・ RZ/A1H は、SRAM=10M バイト、L1 キャッシュ=32K バイト、L2 キャッシュ=128K バイトを内 蔵 しコアスピードは
400MHz 動 作 です。
・ Cyclone IV は、ロジックエレメント数 =6,272,メモリ=270 K ビット,PLL=2ch の規 模 で、マイコンとの I/F は、
16 ビットのバス接 続 です。
2. 特長
2.1.
MCU
・ RZ/A1H=型 番 :R7S721000VCFP、形 状 :LFQF P256 ピン、電 源 :コア=1.18V/IO=3.3V
2.2.
FPGA
・ Cyclone IV=型 番 :EP4CE6E22C8N、形 状 :EQFP144 ピン、電 源 :コア=1.2V/analogPLL=2.5V/IO=3.3V
2.3.
FPGAコンフィグレーション・デバイス
・ FPGA 論 理 回 路 の保 存 用 に、4 M ビットシリアル FlashROM、EPCS4(アルテラ)を1個 搭 載 しています。
2.4.
FlashROM
・ プログラム格 納 用 に、16M バイトのシリアル FlashROM、S25FL128S(SPA NSION )を1個 搭 載 しています。
(マイコン SPI マルチインタフェース、66.67MHz 動 作 )
2.5.
FRAM
・ データバックアップ用 に、32Kバイトの強誘電体不 揮 発 性 シリアル FRAM FM25V02(RAMTRON)を1個 搭 載
しています。
(マイコン SPI インタフェース、33.33MHz 動 作 )
2.6.
USB
・ デバッグ専 用 USB miniB コネクタを実 装 しています。(MCU 内 蔵 US B コントローラ チャネル 0 を使 用 )
・ SW4-1(ブートモード)を OFF にし、USB ケーブルを接 続 した状 態 で電 源 を ON にするとデバッグ用 ファームが
起 動 し使 用 可 能 となります。(10.7 参 照 )
・ お客 様 が独 自 でソフトウェアを作 成 する 場 合 は FUNCTION として使 用 可 能 です。
2.7.
電源電圧監視
・ 供 給 電 源 +5V を監 視 、電 圧 降 下 +4.5Vを検 出 し、マイコンに NMI 割 り込 みを要 求 します。
・ NMI~リセットが入 るまでの時 間 を設 け、データの退 避 に使 用 可 能 です。
・ NMI 発 生 からリセットまでの時 間 は、当 社 テスト動 作 で≒10mS となりますが、供 給 する電 源 ユニットと消 費 電 流 の
状 況 により大 きく変 わりますので注 意 が必 要 です。(10.3 参 照 )
2.8.
電源投入時のFPGAダウンロード
・ FPGA コンフィグレーション・デバイスから FPGA に論 理 回 路 をダウンロードするのに、数 百 mS 要 する為
ダウンロード中 の信 号 をマイコンに通 知 します。(ダウンロード完 了 後 、 FPGA にアクセス可 能 となります 。)
2.9.
デバッグ用ファーム(無償)
・ ご購 入 時 には FlashROM にデバッグ用 ファーム(ローダ/Flash ライタ/デバッグモニタ)が書 込 みされております。
2.10. FPGA用サンプルI/Oロジック(無償)
・ ご購 入 時 には FPGA コンフィグレーション・デバイスに FPGA 用 サンプル I/O ロジック(I/O および RAM アクセス)
が書 込 みされております。
1
MP-RZA1H/FPGA-01
ROBin(ロビン)シリーズ
3. 仕様概要
機能
MCU
クロック
メモリ
FPGA
FPGA コンフィグレーション
デバイス
USB I/F
アナログリファレンス電 源
リセット
電源電圧監視
LED
スイッチ
拡 張 用 コネクタ
電源
消費電流
使用条件
寸法
仕様
RZ/A1H マイコン (R7S721000VCFP:ルネサス)
ARM Cortex-A9 プロセッサ搭 載
内 蔵 RAM
: 10M バイト
L1 キャッシュ : 32K バイト
L2 キャッシュ : 128K バイト
パッケージ
: LFQFP256 ピン
システムクロック
: 13.33MHz ( 水 晶 発 振 器 )
MCUク ロ ッ ク
: 最 大 40 0MHz
内 部 バ ス ク ロ ッ ク : 最 大 133. 33MHz
外 部 バ ス ク ロ ッ ク : 66.67MHz
周辺クロック
: 66.67MHz / 33.33MHz
RTC用クロック
: 32.768KHz ( 水 晶 振 動 子 )
USB用クロック
: 48MHz( 水 晶 発 振 器 )
ビデオ用クロック
: 27MHz( 水 晶 発 振 器 )
オ ー デ ィ オ 用 ク ロ ッ ク : 22.5792MH z( 水 晶 発 振 器 )
128M ビット シリアル FlashROM (S25FL128S:SPANSION 相 当 品 )
256K ビット FRAM (FM25V02:RAMTRON 相 当 品 )
Cyclone IV (EP4CE6E22C8N:アルテラ)
ロジックエレメント数 :6,272
メモリ
:270K ビット
PLL
:2ch
4M ビットシリアル FlashROM (EPCS4:アルテラ)
MCU 内 蔵 US B コントローラ チャネル 0
デバッグ専 用 USB2.0(HIGH/FULL スピード対 応 )動 作
内 部 +3.3V と外 部 Vrefの切 替 え可 能
(10.機 能 参 照 )
外 部 へリセット出 力 /外 部 からリセット入 力 切 替 可 能
JP1 ショ-トバーにより切 替 え
(10.機 能 参 照 )
供 給 電 源 +5V を監 視 、電 圧 降 下 +4.5V を検 出 し、マイコンに NMI 割 り込 みが可 能 。
また、外 部 へのNMI出 力 および外 部 からのNMI入 力 が可 能 。
JP2 ショ-トバーにより切 替 え
(10.機 能 参 照 )
電 源 LED 1 個
汎 用 LED 4 個 (マイコン I/O ポートに接 続 )
(このマイコンの I/O ポートは拡 張 用 コネクタにも接 続 されています。)
汎 用 LED 2 個 (FPGA I/O ポートに接 続 )
モード選 択 用 4 ビット DIP スイッチ 1 個
(10.設 定 参 照 )
汎 用 4 ビット DIP スイッチ 1 個 (マイコン I/O ポートに接 続 )
汎 用 2 ビット DIP スイッチ 1 個 (FPGA I/O ポートに接 続 )
リセット用 タクタイルスイッチ 1 個
20 ピン 2.54 ピッチ 2 個 (HIF3H-20 PB-2.54DSA(71) :ヒ ロセ)
60 ピン 2.54 ピッチ 2 個 (HIF3H-60 PB-2.54DSA(71) :ヒ ロセ)
50 ピン 2.54 ピッチ 1 個 (HIF3H-50 PB-2.54DSA(71) :ヒ ロセ)
これらのコネクタは実 装 オプションです。
DC5V±5% または DC3.3V±5%(+4.5V 電 圧 監 視 機 能 は使 用 できません。)
内 部 電 源 :+3.3V (MCUI/O,FPGA I/O)
内 部 電 源 :+2.5V (FPGA PLL)
内 部 電 源 :+1.2V (MCU コア,FPGA コア)
電 源 電 圧 5V :Typ 約 100mA
電 源 電 圧 3.3V:Typ 約 150mA
デバッグ用 ファームにより USB 経 由 でアプリケーションをダウンロード 時
温 度 0℃~60℃(結 露 なき事 )
109×81mm
2
MP-RZA1H/FPGA-01
ROBin(ロビン)シリーズ
4. 外観図
CN5 (IO 拡張)
CN2 (IO 拡張)
(マイコン 汎用 IO)
LED 4,3,2,,1 SW3 (RESET)
JP1,2 (RESET,NMI SEL)
CN1 (電源)
LED7 (電源モニタ)
JP3
SW2
(FPGA 汎用 IO)
CN7
(ULink2/PRO 用)
LED 6,5
CN9 (FPGA JTAG)
CN8 (USB miniB)
CN4 (IO 拡張)
CN6 (IO 拡張)
CN3 (IO 拡張)
SW4 (マイコン モードスイッチ)
SW1 (マイコン 汎用スイッチ)
109
R24
81
JP4
3
MP-RZA1H/FPGA-01
ROBin(ロビン)シリーズ
5. ブロック図
CN7
マイコン
(JTAG)
RZ /A 1H
ULinkx 用
A R M Co rte x A 9
L1
L2
SRAM
Iφ
Bφ
CK IO
動 作 モ ート ゙
・ S W x 4 ビ ッ ト
汎 用 IO
・ S Wx 4 ビ ッ ト
・ LE D x 4 ヒ ゙ ット
F LA S H
128M ビット
FRAM
2 5 6 K ヒ ゙ ット
Q S PI
S PI
S YS CLK
1 3 . 3 3 3 MHz
R TCCLK
32.768KHz
US BCLK
4 8 MHz
32K バイ ト
128K バイト
10M ハ ゙イト
400MHz
F PGA
Con f i g ROM
4M ビット
JTAG
CN9
Cycl one IV
ConfigROM
書替えコネクタ
LE
6,272
R A M 2 7 0 K ヒ ゙ ット
PLL 2 c h
汎 用 IO
・ S Wx 2
・ LE D x 2
133.3MHz
66.67MHz
G ra p h i c
Audio
TIME R
R TC
WD T
E th e rn e t
US B2 . 0
SD
MMC
S PI
Q S PI
S CI
I2 C
CA N
LIN
A/D
・
・
V i d e oCLK
27MHz
CN4
A[15:1]
I/O
D[15:0]
CTR L
CN3
I/O
I/O
CN6
CN2
US B0
A u d i oCLK
22.5792MHz
CN5
NM I
RES#
拡張コネクタ
CN1
電源コネクタ
DC5V/
DC3.3V
RESET
電圧監視
リセット SW
REG
CN8
3 . 3 V ( MCU, F PG A ,そ の 他 )
2 . 5 V ( F PG A)
1 . 2 V ( MCU, F PG A )
4
US Bm i n i B
コ ネ クタ
(DEFnano 用)
MP-RZA1H/FPGA-01
ROBin(ロビン)シリーズ
6. メモリマップ
6.1.
本ボードのメモリマップおよび設定内容
アドレス
領域
設定
0000_0000 - 03FF_FFFF
0400_0000 - 07FF_FFFF
0800_0000 – 0BFF_FFFF
CS0 空 間 (64M バイト)
CS1 空 間 (64M バイト)
CS2 空 間 (64M バイト)
0C00_0000 - 0FFF_FFFF
CS3 空 間 (64M バイト)
1000_0000 - 13FF_FFF
1400_0000 - 17FF_FFF
1800_0000 - 1BFF_FFFF
CS4 空 間 (64M バイト)
CS5 空 間 (64M バイト)
SPI マルチ I/O バス領 域 チャネル 0
(64M バイト)
SPI マルチ I/O バス領 域 チャネル 1
(64M バイト)
大 容 量 内 蔵 RAM
(保 持 用 内 蔵 RAM 含 む)
(10M バイト)
ストロングリエ リア
ストロングリエ リア
キャッシュ無 効 エリア
20A0_0000 - 3FFF_FFFF
4000_0000 - 43FF_FFFF
I/O 領 域 、予 約 領 域
CS0 空 間 ミラー領 域 (64M バイト)
ストロングリエ リア
キャッシュ無 効 エリア
4400_0000 - 47FF_FFFF
4800_0000 – 4BFF_FFFF
4C00_0000 - 4FFF_FFFF
CS1 空 間 ミラー領 域 (64M バイト)
CS2 空 間 ミラー領 域 (64M バイト)
CS3 空 間 ミラー領 域 (64M バイト)
5000_0000 - 53FF\FFFF
5400_0000 - 57FF_FFFF
5800_0000 - 5BFF_FFFF
CS4 空 間 ミラー領 域 (64M バイト)
CS5 空 間 ミラー領 域 (64M バイト)
SPI マルチ I/O バス領 域 チャネル 0
ミラー領 域 (6 4M バイト)
SPI マルチ I/O バス領 域 チャネル 1
ミラー領 域 (6 4M バイト)
大 容 量 内 蔵 RAM
(保 持 用 内 蔵 RAM 含 む)
ミラー領 域 (1 0M バイト)
I/O 領 域 、予 約 領 域
1C00_0000 - 1FFF_FFFF
2000_0000 - 209F_FFFF
5C00_0000 - 5FFF_FFFF
6000_0000 - 609F_FFFF
60A0_0000 – FFFF_FFFF
キャッシュ有 効 エリア
(L1,L2 キャッシュ)
5
キャッシュ有 効 エリア
(L1 キャッシュ)
備考
ユーザ使 用 不 可
ユーザで使 用 可
本 ボード゙内 の FPGA で使 用
(キャッシュ無 効 エリア
4800_0000 – 4BFF_FFF を
を使 用 )
ユーザ使 用 可
(本 ボード゙内 の FPGA にも
接続)
ユーザ使 用 不 可
ユーザ使 用 不 可
論 理 アクセスはペ ージを
またいだ 連 続 アクセスが
不 可 のため MMU により
物 理 アドレスをアクセスさせる
(6000_0000 - 609F_FFFF)
ユーザ使 用 不 可
ユーザで使 用 可
本 ボード゙内 の FPGA で使 用
ユーザ使 用 可
(本 ボード゙内 の FPGA にも
接続)
ストロングリエ リア
ストロングリエ リア
キャッシュ無 効 エリア
ストロングリエ リア
ユーザ使 用 不 可
ユーザ使 用 不 可
MP-RZA1H/FPGA-01
ROBin(ロビン)シリーズ
6.2.
L1キャッシュとL2キャッシュについて
RZ/A1H は L1 キャッシュと L2 キャッシュの 2 種類のキャッシュメモリを内蔵しています。
以下にブロック図を示します。
6
MP-RZA1H/FPGA-01
ROBin(ロビン)シリーズ
6.3.
FPGA空間のBSC設定
(1) CMNCRレジスタ
ビッ ト
設定値
ビッ ト
設定値
31
30
29
1
28
27
26
25
24
23
22
21
20
-
-
-
TL 0
-
-
-
AL 0
-
-
-
-
0
0
0
0
0
0
0
0
0
0
0
15
14
13
12
11
10
9
8
7
6
5
-
-
-
-
-
0
0
0
0
0
0
18
17
16
-
-
-
-
0
0
0
0
0
4
3
2
1
0
H IZ
CN T
-
-
-
-
-
-
-
H IZ
M EM
0
0
0
0
0
0
0
0
0
21
20
19
1
18
17
16
D P R TY[ 1 : 0 ]
0
19
1
設 定 の意 味
・ TL0 = 0
: TEND0をローアクティブ出 力
・ AL0 = 0
: DACK0をローアクティブ 出 力
・D PRTY = 0
: DMAバースト転 送 中 にリフレッシュ要 求 を受 け付 ける
・HIZMEM = 0
: 低消費モード時メモリコントロール信号ハイインピーダンス
・HIZCNT = 0
: 低消費モード時CKE/RAS/CAS ハイインピーダンス
(2) CS2BCRレジスタ
ビッ ト
31
30
-
設定値
ビッ ト
28
26
27
IW W [ 2 : 0 ]
25
24
IW RW D [ 2 : 0 ]
23
22
IW RW S[ 2 : 0 ]
IW R RD [ 2 : 0 ]
IW R RS [ 2 : 0 ]
0
0
1
0
0
1
0
0
1
0
0
1
0
0
1
0
15
14
13
12
11
10
9
8
7
6
5
4
3
2
1
0
B S Z[ 1 : 0 ]
-
-
-
-
-
-
-
-
-
0
0
0
0
0
0
0
0
0
-
設定値
29
1
0
TYP E[ 2 : 0 ]
0
1
-
1
0
1
0
設 定 の意 味
・IWW = 2
: WR<->RD/WR<->WRサイクル間 2アイドル
・ IWRWD = 2 : 別空間 RD<->WRサイクル間 2アイドル
・ IWRWS = 2 : 同空間 RD<->WRサイクル間 2アイドル
・ IWRRD = 2 : 別空間 RD<->RDサイクル間 2アイドル
・ IWRRS = 2 : 同空間 RD<->RD サイクル間 2アイドル
・ TYPE = 3 : バイト選択付き SRAM
・BSZ = 2
: データバス幅16ビット
7
MP-RZA1H/FPGA-01
ROBin(ロビン)シリーズ
(3) CS2WCRレジスタ
ビッ ト
設定値
ビッ ト
設定値
31
30
29
1
28
27
26
25
24
23
22
21
20
-
-
-
-
-
-
-
-
-
-
-
BAS
0
0
0
0
0
0
0
0
0
0
0
15
14
13
12
11
10
9
8
7
6
-
-
0
0
SW [ 1 : 0 ]
0
0
0
W R[ 3 : 0 ]
0
0
1
1
19
1
18
17
16
-
-
-
-
1
0
0
0
0
5
4
3
2
1
0
WM
-
-
-
-
0
0
0
0
0
設 定 の意 味
・BAS = 1 : WE#はリードライトアクセスサイクル中アサート、RD/WR#はライトタイミングでアサート
・SW = 0 : リード遅 延 0.5サイクル
・WR = 3 : アクセスウェイト 3サイクル
・WM = 0 : 外部ウェイト入力有効
・HW = 0 : ライト遅 延 0.5サイクル
8
HW[1:0]
0
0
MP-RZA1H/FPGA-01
ROBin(ロビン)シリーズ
7. FPGA用サンプルI/Oロジック
サンプル I/O ロジックは、IRQ2,WAIT 信 号 は未 使 用 です。
また、CS3 空 間 も未 使 用 となります。
7.1.
アドレス空間
CS 空 間
CS2
CS3
7.2.
アドレス
4800_0000
:
4800_7FFF
4800_8000
:
4800_BFFF
4800_C000
:
4800_FFFF
4801_0000
:
4BFF_FFFF
4C00_0000
:
4FFF_FFFF
分類
FPGA I/O 空 間
FPGA RAM 空 間
(全 容 量 :270K ビット)
内容
FPGA I/O 入 出 力 用 レジスタ
・バス幅 16 ビット
・8/16 ビットアクセス
FPGA 内 蔵 RAM (16K バイト)
・バス幅 16 ビット
・8/16 ビットアクセス
FPGA 内 蔵 RAM (16K バイト)
備考
未使用
(ユーザ開 放 )
使用不可
(ミラー領 域 )
外 部 空 間 と兼 用
未使用
FPGA I/O入 出 力 用 レジスタマップ
機能
アドレス
リード時
ライト時
入出力方向設定(IO15~0)レジスタ
4800_0000
設定データの読出し
設定データの書込み
入出力方向設定(IO31~16)レジスタ
4800_0002
設定データの読出し
設定データの書込み
入出力方向設定(IO39~32)レジスタ
4800_0004
設定データの読出し
設定データの書込み
外部入力 又は
出力データの読出し
外部入力 又は
出力データの読出し
外部入力 又は
出力データの読出し
無効 又は
出力データの書込み
無効 又は
出力データの書込み
無効 又は
出力データの書込み
備考
4800_0006
未使用
:
4800_000E
入出力データ(IO15~0)レジスタ
入出力データ(IO31~16)レジスタ
入出力データ(IO39~32)レジスタ
4800_0010
4800_0012
4800_0014
4800_0016
未使用
:
4800_001E
デバッグSW入力レジスタ
4800_0020
SW 入力
無効
デバッグ用LED制御切替えレジスタ
4800_0022
LED 出力データの読出し
LED 出力データの書込み
4800_0024
未使用
:
4800_00FF
4800_0100
ミラー領域
:
4800_7FFF
9
方向設定による
方向設定による
方向設定による
MP-RZA1H/FPGA-01
ROBin(ロビン)シリーズ
7.3.
I/Oレジスタ詳細
(1)入出力方向設定(IO15~0)レジスタ
アドレス
4800_0000
ビット
15
|
0
内容
FPGA_IO_15 信号の入出力方向設定
|
FPGA_IO_0 信号の入出力方向設定
リード時
0=入 力
1=出 力
ライト時
0=入 力
1=出 力
初期値
0
(2)入出力方向設定(IO31~16)レジスタ
アドレス
4800_0002
ビット
15
|
0
内容
FPGA_IO_31 信号の入出力方向設定
|
FPGA_IO_16 信号の入出力方向設定
リード時
0=入 力
1=出 力
ライト時
0=入 力
1=出 力
初期値
0
(3)入出力方向設定(IO39~32)レジスタ
アドレス
4800_0004
ビット
15
|
8
7
|
0
内容
未使用
|
未使用
FPGA_IO_39 信号の入出力方向設定
|
FPGA_IO_32 信号の入出力方向設定
リード時
ライト時
0=入 力
1=出 力
0=入 力
1=出 力
リード時
入出力方向設定=0
外部入力
入出力方向設定=1
出 力 データリードバック
ライト時
入出力方向設定=0
無効
入出力方向設定=1
外部出力
リード時
入出力方向設定=0
外部入力
入出力方向設定=1
出 力 データリードバック
ライト時
入出力方向設定=0
無効
入出力方向設定=1
外部出力
初期値
0
(4)入出力データ(IO15~0)レジスタ
アドレス
4800_0010
ビット
15
|
|
0
内容
FPGA_IO_15 信号の入出力データ
|
|
FPGA_IO_0 信号の入出力データ
初期値
0
(5)入出力データ(IO31~16)レジスタ
アドレス
4800_0012
ビット
15
|
|
0
内容
FPGA_IO_31 信号の入出力データ
|
|
FPGA_IO_16 信号の入出力データ
初期値
0
(6)入出力データ(IO39~32)レジスタ
アドレス
4800_0014
ビット
15
|
|
8
7
|
|
0
内容
リード時
ライト時
初期値
未使用
FPGA_IO_39 信号の入出力データ
|
|
FPGA_IO_32 信号の入出力データ
入出力方向設定=0
外部入力
入出力方向設定=1
出 力 データリードバック
10
入出力方向設定=0
無効
入出力方向設定=1
外部出力
0
MP-RZA1H/FPGA-01
ROBin(ロビン)シリーズ
(7)デバッグ SW 入力レジスタ
アドレス
4800_0020
ビット
15
|
2
1
0
内容
リード時
ライト時
初期値
ライト時
初期値
未使用
SW2-2
SW2-1
0=OFF
1=ON
無効
(8)デバッグ LED 制御切替えレジスタ
アドレス
4800_0022
ビット
15
|
8
7
6
|
2
1
0
内容
リード時
未使用
LED 制御切り替え
右記設定内容の
読出し
0=ハード制 御
(SW2 と LED が連 動 )
1=ソフト制 御
(下 記 ビット 1,0 有 効 )
0
LED 状 態 の読 出 し
0=消 灯
1=点 灯
0
未使用
LED6 設定
LED5 設定
11
MP-RZA1H/FPGA-01
ROBin(ロビン)シリーズ
8. I/O割付け
ポート/
モード
専用機能
機能
機能1
機能2
機能3
機能4
機能5
機能6
機能7
機能8
拡張
備考
コネク タ
JP0 _0
TD I
JT AG
JP0 _1
TD O
JT AG
P0 _0
M D _BOO T0
SW4-1
P0 _1
M D _BOO T1
GND 固 定
P0 _2
M D _CLK
SW4-3
P0 _3
MD_CLKS
SW4-4
P0 _4
RTC _X3
SW1-1
P0 _5
RTC _X4
SW1-4
P1 _0
RIIC0SC L
DV0_DATA16
TCLK A
IRQ0
VIO _VD
DV0_VSYNC
P1 _1
RIIC0SDA
DV0_DATA17
TCLK C
IRQ1
VIO _HD
DV0_HSYNC
P1 _2
RIIC1SC L
DV0_DATA18
FRB
IRQ2
P1 _3
RIIC1SDA
DV0_DATA19
ET_COL
IRQ3
P1 _4
RIIC2SCL
DV0_CLK
CAN1RX
IRQ4
P1 _5
RIIC2SDA
DV1_CLK
CAN4RX
IRQ5
VIO_CLK
P1 _6
RIIC3SCL
DV1_VSYNC
IERxD
IRQ6
VIO_D12
DV0_DATA12
CN3 - 4 8
P1 _7
RIIC3SDA
DV1_HSYNC
RLIN30RX
IRQ7
VIO_D13
DV0_DATA13
CN3 - 4 7
P1 _8
AN0
IRQ2
DREQ0
VIO_D14
DV0_DATA14
CN3 - 1 0
P1 _9
AN1
IRQ3
VIO_D15
DV0_DATA15
CN3 - 9
P1 _1 0
AN2
IRQ4
TCLKB
CN3 - 8
P1 _1 1
AN3
IRQ5
TCLKD
CN3 - 7
P1 _1 2
AN4
DV0_VSYNC
VIO_FLD
CN3 - 4
P1 _1 3
AN5
DV0_HSYNC
WAIT
CN3 - 3 9
P1 _1 4
AN6
ET_COL
CN2 - 1 2
P1 _1 5
AN7
AVB
CN3 - 3
CN2 - 3 7
CN2 - 3 8
LCD1_EXTCLK
F PGA I NT
ADTRG
CN3 - 1 2
CAN_CLK
CN2 - 4 1
LCD1_EXTCLK
CN2 - 4 2
_CAPTURE
P2 _0
D16
ET_TXCLK
DV0_DATA0
SPBIO00_1
MLB_CLK
IRQ5
VIO_D0
LCD0_DATA16
CN2 - 2 5
P2 _1
D17
ET_TXER
DV0_DATA1
SPBIO10_1
MLB_DAT
TIOC2A
VIO_D1
LCD0_DATA17
CN2 - 2 6
P2 _2
D18
ET_TXEN
DV0_DATA2
SPBIO20_1
MLB_SIG
TIOC2B
VIO_D2
LCD0_DATA18
CN2 - 2 3
P2 _3
D19
ET_CRS
DV0_DATA3
SPBIO30_1
IERxD
CTS1
VIO_D3
LCD0_DATA19
CN2 - 2 4
P2 _4
D20
ET_TXD0
DV0_DATA4
SSISCK5
SPBCLK_1
SCK1
VIO_D4
LCD0_DATA20
CN2 - 2 7
P2 _5
D21
ET_TXD1
DV0_DATA5
SSIWS5
SPBSSL_1
TxD1
VIO_D5
LCD0_DATA21
CN2 - 2 8
P2 _6
D22
ET_TXD2
DV0_DATA6
SSIRxD5
RxD1
VIO_D6
LCD0_DATA22
CN2 - 2 9
P2 _7
D23
ET_TXD3
DV0_DATA7
SSITxD5
IETxD
RTS1
VIO_D7
LCD0_DATA23
CN2 - 3 0
P2 _8
D24
ET_RXD0
DV0_DATA8
SSISCK0
LCD0_TCON6
LCD1_DATA8
VIO_D8
RSPCK4
CN2 - 1 5
P2 _9
D25
ET_RXD1
DV0_DATA9
SSIWS0
RLIN30RX
LCD1_DATA9
VIO_D9
SSL40
CN2 - 1 6
P2 _1 0
D26
ET_RXD2
DV0_DATA10
SSIRxD0
RLIN30TX
LCD1_DATA10
VIO_D10
MOSI4
CN2 - 1 7
P2 _1 1
D27
ET_RXD3
DV0_DATA11
SSITxD0
TIOC1A
LCD1_DATA11
VIO_D11
MISO4
CN2 - 1 8
P2 _1 2
D28
RSPCK0
DV0_DATA12
SPBIO01_0
CAN3RX
IRQ6
LCD1_DATA12
TIOC1B
CN2 - 4 6
P2 _1 3
D29
SSL00
DV0_DATA13
SPBIO11_0
CAN3TX
SCK0
LCD1_DATA13
IRQ7
CN2 - 4 5
P2 _1 4
D30
MOSI0
DV0_DATA14
SPBIO21_0
CAN4RX
TxD0
LCD1_DATA14
IRQ0
CN2 - 4 7
P2 _1 5
D31
MISO0
DV0_DATA15
SPBIO31_0
CAN_CLK
RxD0
LCD1_DATA15
IRQ1
CN2 - 3 6
: MCU ボ ード 内 の 固 定 機 能
: MCU ボ ー ド 内 で 使 用 しな い 場 合 は 任 意 に 使 用 可 能 ( F P GA , LED)
12
FPGA と 兼 用
MP-RZA1H/FPGA-01
ROBin(ロビン)シリーズ
ポート/
モード
専用機能
機能
機能1
機能2
機能3
機能4
機能5
機能6
機能7
機能8
拡張
備考
コネク タ
P3 _0
LCD0_CLK
ET_TXCLK
IRQ2
SCK2
SCI_SCK1
TxD2
PWM2A
RSPCK3
CN5 - 9
P3 _1
LCD0_TCON0
ET_TXER
IRQ6
TxD2
SCI_TXD1
AUDIO_CLK
PWM2B
SSL30
CN6 - 1 0
P3 _2
LCD0_TCON1
ET_TXEN
RxD2
SCI_RXD1
TEND0
PWM2C
MOSI3
CN6 - 9
P3 _3
LCD0_TCON2
ET_MDIO
IRQ4
BS
SCI_CTS1/RT
DACK0
PWM2D
MISO3
CN2 - 2 0
P3 _4
LCD0_TCON3
ET_RXCLK
SSISCK1
AUDIO_XOUT2
S1
SCI_SCK0
TIOC3A
SCK3
CN2 - 1 3
P3 _5
LCD0_TCON4
ET_RXER
SSIWS1
AUDIO_XOUT3
SCI_TXD0
TIOC3B
TxD3
CN2 - 1 4
P3 _6
LCD0_TCON5
ET_RXDV
SSIRxD1
SCI_RXD0
TIOC3C
RxD3
P3 _7
LCD0_TCON6
SSITxD1
SCI_CTS0/
TIOC3D
CS1
WDTOVF
CN3 - 1 1
P3 _8
LCD0_DATA0
NAF0
RTS0
TRACEDATA0
TIOC4A
SD_CD_1
MMC_CD
CN2 - 5 5
P3 _9
LCD0_DATA1
NAF1
TRACEDATA1
TIOC4B
SD_WP_1
IRQ6
CN2 - 5 4
P3 _1 0
LCD0_DATA2
NAF2
TRACEDATA2
TIOC4C
SD_D1_1
MMC_D1
CN2 - 5 3
P3 _1 1
LCD0_DATA3
NAF3
TRACEDATA3
TIOC4D
SD_D0_1
MMC_D0
CN2 - 5 2
P3 _1 2
LCD0_DATA4
NAF4
SD_CLK_1
MMC_CLK
CN2 - 5 1
P3 _1 3
LCD0_DATA5
NAF5
SD_CMD_1
MMC_CMD
CN2 - 5 0
P3 _1 4
LCD0_DATA6
NAF6
TRACECLK
SD_D3_1
MMC_D3
CN2 - 4 9
P3 _1 5
LCD0_DATA7
NAF7
TRACECTL
SD_D2_1
MMC_D2
CN2 - 4 8
P4 _0
LCD0_DATA8
TIOC0A
FRE
RSPCK4
MMC_D4
CN2 - 1 0
P4 _1
LCD0_DATA9
TIOC0B
FCLE
SCK2
SSL40
MMC_D5
CN2 - 9
P4 _2
LCD0_DATA10
TIOC0C
FALE
CAN3RX
TxD2
MOSI4
MMC_D6
CN2 - 8
P4 _3
LCD0_DATA11
TIOC0D
FWE
CAN3TX
RxD2
MISO4
MMC_D7
CN2 - 7
P4 _4
LCD0_DATA12
RSPCK1
TIOC4A
PWM2E
SSISCK0
DV0_DATA12
CN2 - 6
P4 _5
LCD0_DATA13
SSL10
TIOC4B
PWM2F
SSIWS0
DV0_DATA13
CN2 - 5
P4 _6
LCD0_DATA14
MOSI1
TIOC4C
PWM2G
SSIRxD0
DV0_DATA14
CN5 - 1 7
P4 _7
LCD0_DATA15
MISO1
TIOC4D
PWM2H
SSITxD0
DV0_DATA15
P4 _8
LCD0_DATA16
LCD1_TCON3
SD_CD_0
MMC_CD
SSISCK5
CAN2TX
SCK0
IRQ0
CN5 - 1 1
P4 _9
LCD0_DATA17
LCD1_TCON4
SD_WP_0
SSIWS5
CAN2RX
TxD0
IRQ1
CN5 - 1 2
P4 _1 0
LCD0_DATA18
LCD1_TCON5
SD_D1_0
MMC_D1
SSIRxD5
RxD0
IRQ2
CN5 - 1 0
LED1 と兼 用
P4 _1 1
LCD0_DATA19
LCD1_TCON6
SD_D0_0
MMC_D0
SSITxD5
CAN4TX
SCK1
IRQ3
CN5 - 1 5
LED2 と兼 用
P4 _1 2
LCD0_DATA20
LCD1_CLK
SD_CLK_0
MMC_CLK
SPBIO01_1
SSISCK3
TxD1
IRQ4
CN5 - 1 4
LED3 と兼 用
P4 _1 3
LCD0_DATA21
LCD1_TCON0
SD_CMD_0
MMC_CMD
SPBIO11_1
SSIWS3
RxD1
IRQ5
CN5 - 1 3
LED4 と兼 用
P4 _1 4
LCD0_DATA22
LCD1_TCON1
SD_D3_0
MMC_D3
SPBIO21_1
SSIRxD3
TxD2
IRQ6
CN2 - 4 3
P4 _1 5
LCD0_DATA23
LCD1_TCON2
SD_D2_0
MMC_D2
SPBIO31_1
SSITxD3
RxD2
IRQ7
CN2 - 4 4
P5 _0
TXCLKOUTP
LCD1_DATA0
LCD0_DATA16
DV1_DATA0
TxD4
TIOC0A
RSPCK3
CN6 - 1 8
P5 _1
TXCLKOUTM
LCD1_DATA1
LCD0_DATA17
DV1_DATA1
RxD4
TIOC0B
SSL30
CN6 - 1 7
P5 _2
TXOUT2P
LCD1_DATA2
LCD0_DATA18
DV1_DATA2
SCK3
TIOC1B
MOSI3
CN6 - 1 6
P5 _3
TXOUT2M
LCD1_DATA3
LCD0_DATA19
DV1_DATA3
TxD3
TIOC3C
MISO3
CN6 - 1 5
P5 _4
TXOUT1P
LCD1_DATA4
LCD0_DATA20
DV1_DATA4
RxD3
TIOC3D
DV0_DATA12
CN6 - 1 4
P5 _5
TXOUT1M
LCD1_DATA5
LCD0_DATA21
DV1_DATA5
AUDIO_XOUT
TIOC0C
FCE
DV0_DATA13
CN6 - 1 3
P5 _6
TXOUT0P
LCD1_DATA6
LCD0_DATA22
DV1_DATA6
TxD6
IRQ6
SPDIF_IN
DV0_DATA14
CN6 - 1 2
P5 _7
TXOUT0M
LCD1_DATA7
LCD0_DATA23
DV1_DATA7
RxD6
TIOC0D
SPDIF_OUT
DV0_DATA15
CN6 - 1 1
P5 _8
LCD0_EXTCLK
IRQ0
DV1_CLK
DV0_CLK
CS2
P5 _9
WE2/DQMUL
ET_MDC
DV0_VSYNC
CAN1RX
IERxD
LCD1_DATA16
CN2 - 1 9
P5 _1 0
WE3/DQMUU/
CAN1TX
IETxD
LCD1_DATA17
CN2 - 3 2
LCD1_EXTCLK
AUDIO_XOUT
IRQ2
DV0_HSYNC
AH
: MCU ボ ード 内 の 固 定 機 能
: MCU ボ ー ド 内 で 使 用 しな い 場 合 は 任 意 に 使 用 可 能 ( F P GA , LED)
13
CN2 - 1 1
CN5 - 1 6
FPGA CS
MP-RZA1H/FPGA-01
ROBin(ロビン)シリーズ
ポート/
モード
専用機能
機能
機能1
機能2
機能3
機能4
機能5
機能6
機能7
機能8
拡張
備考
コネク タ
P6 _0
D0
LCD1_DATA8
RLIN30RX
DV0_CLK
TIOC1A
IRQ5
RxD3
DV0_DATA16
CN6 - 8
FPGA と 兼 用
P6 _1
D1
LCD1_DATA9
RLIN30TX
IRQ4
TIOC1B
SSIDATA4
TxD3
DV0_DATA17
CN6 - 7
FPGA と 兼 用
P6 _2
D2
LCD1_DATA10
RLIN31RX
IRQ7
TCLKA
TIOC2A
RxD2
DV0_DATA18
CN6 - 6
FPGA と 兼 用
P6 _3
D3
LCD1_DATA11
RLIN31TX
IRQ2
CTS5
TIOC2B
TxD2
DV0_DATA19
CN6 - 5
FPGA と 兼 用
P6 _4
D4
LCD1_DATA12
CAN2RX
IRQ3
RTS5
RSPCK1
DV0_DATA20
CN6 - 4
FPGA と 兼 用
P6 _5
D5
LCD1_DATA13
CAN2TX
SCK5
SSL10
DV0_DATA21
CN6 - 3
FPGA と 兼 用
P6 _6
D6
LCD1_DATA14
LCD0_TCON5
TxD5
MOSI1
DV0_DATA22
4C-N53 - 5 8
FPGA と 兼 用
P6 _7
D7
LCD1_DATA15
LCD0_TCON6
RxD5
MISO1
DV0_DATA23
CN3 - 5 7
FPGA と 兼 用
P6 _8
D8
DV0_DATA12
CAN_CLK
SCK0
LCD0_DATA0
IRQ0
CN3 - 5 6
FPGA と 兼 用
P6 _9
D9
DV0_DATA13
TxD0
LCD0_DATA1
IRQ1
CN3 - 5 5
FPGA と 兼 用
P6 _1 0
D10
DV0_DATA14
LCD0_TCON5
RxD0
LCD0_DATA2
IRQ2
CN3 - 5 4
FPGA と 兼 用
P6 _1 1
D11
DV0_DATA15
LCD0_TCON6
SCK1
LCD0_DATA3
IRQ3
CN3 - 5 3
FPGA と 兼 用
P6 _1 2
D12
DV0_DATA20
TxD1
LCD0_DATA4
IRQ4
CN3 - 5 2
FPGA と 兼 用
P6 _1 3
D13
DV0_DATA21
SCK6
RxD1
LCD0_DATA5
IRQ5
CN3 - 5 1
FPGA と 兼 用
P6 _1 4
D14
DV0_DATA22
TxD6
LCD0_DATA6
IRQ6
CN3 - 5 0
FPGA と 兼 用
P6 _1 5
D15
DV0_DATA23
RxD6
LCD0_DATA7
IRQ7
CN3 - 4 9
FPGA と 兼 用
CS0
DV0_DATA16
ET_MDC
SCK4
RLIN30TX
P7 _1
CS3
DV0_DATA17
ET_TXCLK
TxD4
DV0_CLK
SSISCK1
TIOC0B
P7 _2
RAS
DV0_DATA18
ET_TXER
RxD4
CAN2RX
SSIWS1
TIOC0C
SW1-2
P7 _3
CAS
DV0_DATA19
ET_TXEN
SCK7
CAN2TX
SSIRxD1
TIOC0D
SW1-3
P7 _4
CKE
DV0_DATA20
ET_TXD0
TxD7
SSITxD1
TIOC1A
P7 _5
RD/WR
DV0_DATA21
ET_TXD1
RxD7
SSISCK2
TIOC1B
CN3 - 4 5
FPGA と 兼 用
P7 _6
WE0/DQMLL
DV0_DATA22
ET_TXD2
CTS7
SSIWS2
TIOC2A
CN3 - 4 0
FPGA と 兼 用
P7 _7
WE1/DQMLU
DV0_DATA23
ET_TXD3
RTS7
SSIDATA2
TIOC2B
CN3 - 3 8
FPGA と 兼 用
P7 _8
RD
SSISCK3
P7 _9
A1
SSIWS3
P7 _1 0
A2
P7 _1 1
P7 _0
MD_BOOT2
TIOC0A
‘ H’ 固 定
CN3 - 4 6
FPGA と 兼 用
F PGA ロ ート ゙完
CAN0RX
TIOC3A
IRQ1
CN3 - 4 4
FPGA と 兼 用
ET_RXD0
CAN0TX
TIOC3B
IRQ0
CN3 - 3 7
FPGA と 兼 用
SSIRxD3
ET_RXD1
CAN1TX
TIOC3C
IRQ2
CN3 - 3 6
FPGA と 兼 用
A3
SSITxD3
ET_RXD2
CAN1RX
TIOC3D
IRQ3
CN3 - 3 5
FPGA と 兼 用
P7 _1 2
A4
SSISCK4
ET_RXD3
TIOC4A
IRQ4
CN3 - 3 4
FPGA と 兼 用
P7 _1 3
A5
SSIWS4
ET_MDIO
TIOC4B
IRQ5
CN3 - 3 3
FPGA と 兼 用
P7 _1 4
A6
SSIDATA4
ET_CRS
TIOC4C
IRQ6
CN3 - 3 2
FPGA と 兼 用
P7 _1 5
A7
RSPCK0
ET_RXCLK
CTS5
SCI_TXD0
CN3 - 3 1
FPGA と 兼 用
P8 _0
A8
SSL00
ET_RXER
SCK5
SCI_SCK0
CN3 - 3 0
FPGA と 兼 用
P8 _1
A9
MOSI0
ET_RXDV
TxD5
SCI_RXD0
CN3 - 2 9
FPGA と 兼 用
P8 _2
A10
MISO0
AVB_GPTP
RxD5
IRQ0
CN3 - 2 8
FPGA と 兼 用
TIOC4D
_EXTERN
P8 _3
A11
DV1_DATA0
RSPCK2
P8 _4
A12
DV1_DATA1
SSL20
RTS5
IRQ1
SCK2
CN3 - 2 7
FPGA と 兼 用
IERxD
RxD2
CN3 - 2 6
P8 _5
A13
DV1_DATA2
MOSI2
FPGA と 兼 用
CN3 - 2 5
P8 _6
A14
DV1_DATA3
MISO2
FPGA と 兼 用
CN3 - 2 2
P8 _7
A15
DV1_DATA4
AUDIO_XOUT
IRQ5
ET_COL
FPGA と 兼 用
CN3 - 2 1
P8 _8
A16
DV1_DATA5
SPBIO00_1
SPDIF_IN
TIOC1A
PWM1A
TxD3
SSISCK5
CN3 - 1 6
FPGA と 兼 用
P8 _9
A17
DV1_DATA6
SPBIO10_1
SPDIF_OUT
TIOC1B
PWM1B
RxD3
SSIWS5
CN3 - 1 5
P8 _1 0
A18
DV1_DATA7
SPBIO20_1
TIOC3A
CAN4TX
PWM1C
SGOUT_0
SSITxD5
CN3 - 1 8
P8 _1 1
A19
SPBIO30_1
TIOC3B
RxD5
PWM1D
SGOUT_1
DV0_CLK
CN3 - 1 7
P8 _1 2
A20
SPBCLK_1
TIOC3C
SCK5
PWM1E
SGOUT_2
SSISCK4
CN3 - 1 4
P8 _1 3
A21
SPBSSL_1
TIOC3D
TxD5
PWM1F
SGOUT_3
SSIWS4
CN3 - 1 3
P8 _1 4
A22
SPBIO01_0
SPBIO00_1
TIOC2A
RSPCK2
PWM1G
TxD4
SSIDATA4
P8 _1 5
A23
SPBIO11_0
SPBIO10_1
TIOC2B
SSL20
PWM1H
RxD4
IETxD
: MCU ボ ード 内 の 固 定 機 能
: MCU ボ ー ド 内 で 使 用 しな い 場 合 は 任 意 に 使 用 可 能 ( F P GA , LED)
14
TxD2
FRAM
FRAM
MP-RZA1H/FPGA-01
ROBin(ロビン)シリーズ
ポート/
モード
専用機能
機能
機能1
機能2
機能3
機能4
機能5
機能6
機能7
機能8
拡張
備考
コネク タ
P9 _0
A24
SPBIO21_0
CAN0TX
TCLKC
MOSI2
FRAM
P9 _1
A25
SPBIO31_0
CAN0RX
IRQ0
MISO2
FRAM
P9 _2
LCD1_DATA18
SPBCLK_0
RLIN30TX
SCK1
A0
F lash ROM
P9 _3
LCD1_DATA19
SPBSSL_0
TxD1
P9 _4
LCD1_DATA20
SPBIO00_0
RxD1
P9 _5
LCD1_DATA21
SPBIO10_0
SSISCK2
CTS1
CS4
F lash ROM
P9 _6
LCD1_DATA22
SPBIO20_0
SSIWS2
RTS1
CS5
F lash ROM
P9 _7
LCD1_DATA23
SPBIO30_0
SSIDATA2
TIOC1A
F lash ROM
F lash ROM
F lash ROM
: MCU ボ ード 内 の 固 定 機 能
15
MP-RZA1H/FPGA-01
ROBin(ロビン)シリーズ
9. コネクタマップ
9.1.
CN1:電源コネクタ (B2P-SHF-1AA:日 圧 )
No
1
2
信号名
+5V または+3.3V
GND
備考
安定化電源
CN1 より電 源 を供 給 する場 合 はCN2 の 1~4 番 ピンは使 用 しないで下 さい。
9.2.
CN2:拡張コネクタ (HIF3H-60PB-2.54DSA(71):ヒロセ)
No
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49
51
53
55
57
59
信号名
+5V
+5V
P4_5
P4_3
P4_1
P3_6
P3_4
P2_8
P2_10
P5_9
DGND
P2_2
P2_0
P2_4
P2_6
NC1
USB_DM1
USB_DP1
P1_0
DGND
P1_4
P4_14
P2_13
P2_14
P3_14
P3_12
P3_10
P3_8
DGND
ExRST#
(注 .1)
(注 .1)
備考
電 源 入 力 /出 力
電 源 入 力 /出 力
USB
USB
(注 .2)
入 力 または出 力
No
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50
52
54
56
58
60
信号名
+5V
+5V
P4_4
P4_2
P4_0
P1_14
P3_5
P2_9
P2_11
P3_3
DGND
P2_3
P2_1
P2_5
P2_7
P5_10
USB_VBUS1
P2_15
P1_1
DGND
P1_5
P4_15
P2_12
P3_15
P3_13
P3_11
P3_9
ExNMI#
DGND
NC2
注 .1 この端 子 から+5Vを供 給 する場 合 はCN1は使 用 しないで下 さい。
注 .2 10.2 リセットを参 照 して下 さい。
16
(注 .1)
(注 .1)
備考
電 源 入 力 /出 力
電 源 入 力 /出 力
USB
入 力 /出 力
MP-RZA1H/FPGA-01
ROBin(ロビン)シリーズ
9.3.
CN3:拡張コネクタ (HIF3H-60PB-2.54DSA(71):ヒロセ)
No
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49
51
53
55
57
59
信号名
AVCC
P1_15
AGND
P1_11
P1_9
P3_7
P8_13
P8_9
P8_11
AGND
P8_7/A15
DGND
P8_5/A13
P8_3/A11
P8_1/A9
P7_15/A7
P7_13/A5
P7_11/A3
P7_9/A1
P1_13/WAIT# (10K で Pull-UP)
DGND
CKIO
P7_5/RD-WR# (10K で Pull-UP)
P1_7
P6_15/D15
P6_13/D13
P6_11/D11
P6_9/D9
P6_7/D7
DGND
備考
出 力 (+3.3V)
No
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50
52
54
56
58
60
FPGA と兼 用
FPGA
FPGA
FPGA
FPGA
FPGA
FPGA
FPGA
FPGA
と兼 用
と兼 用
と兼 用
と兼 用
と兼 用
と兼 用
と兼 用
と兼 用
FPGA と兼 用
FPGA と兼 用
FPGA
FPGA
FPGA
FPGA
FPGA
と兼 用
と兼 用
と兼 用
と兼 用
と兼 用
信号名
VREF
(注 .1)
P1_12
AGND
P1_10
P1_8
P1_3
P8_12
P8_8
P8_10
AGND
P8_6/A14
DGND
P8_4/A12
P8_2/A10
P8_0/A8
P7_14/A6
P7_12/A4
P7_10/A2
P7_7/WE1# (10K で Pull-UP)
P7_6/WE0# (10K で Pull-UP)
DGND
P7_8/RD# (10K で Pull-UP)
P7_1/CS3# (10K で Pull-UP)
P1_6
P6_14/D14
P6_12/D12
P6_10/D10
P6_8/D8
P6_6/D6
DGND
備考
入 力 (max+3.3V)
FPGA と兼 用
FPGA
FPGA
FPGA
FPGA
FPGA
FPGA
FPGA
FPGA
FPGA 兼 用
FPGA
FPGA
FPGA
FPGA
FPGA
注 .1 10.4 アナログリファレンス電 源 内 部 +3.3Vと外 部 Vrefの切 替 えを参 照 して下 さい。
9.4.
CN4:拡張コネクタ (HIF3H-50PB-2.54DSA(71):ヒロセ)
No
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49
信号名
DGND
FPGA_IO_00
FPGA_IO_02
FPGA_IO_04
FPGA_IO_06
FPGA_IO_08
FPGA_IO_10
FPGA_IO_12
FPGA_IO_14
DGND
FPGA_IO_16
FPGA_IO_18
FPGA_IO_20
FPGA_IO_22
FPGA_IO_24
FPGA_IO_26
FPGA_IO_28
FPGA_IO_30
DGND
FPGA_IO_32
FPGA_IO_34
FPGA_IO_36
FPGA_IO_38
DGND
+3.3V
備考
(注 .1)
No
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50
出力
注 .1 11.1 拡 張 コネクタ(6) を参 照 して下 さい。
17
信号名
DGND
FPGA_IO_01
FPGA_IO_03
FPGA_IO_05
FPGA_IO_07
FPGA_IO_09
FPGA_IO_11
FPGA_IO_13
FPGA_IO_15
DGND
FPGA_IO_17
FPGA_IO_19
FPGA_IO_21
FPGA_IO_23
FPGA_IO_25
FPGA_IO_27
FPGA_IO_29
FPGA_IO_31
DGND
FPGA_IO_33
FPGA_IO_35
FPGA_IO_37
FPGA_IO_39
DGND
+3.3V
備考
(注 .1)
と兼 用
と兼 用
と兼 用
と兼 用
と兼 用
と兼 用
と兼 用
と兼 用
出力
と兼 用
と兼 用
と兼 用
と兼 用
と兼 用
MP-RZA1H/FPGA-01
ROBin(ロビン)シリーズ
9.5.
CN5:拡張コネクタ (HIF3H-20PB-2.54DSA(71):ヒロセ)
No
1
3
5
7
9
11
13
15
17
19
9.6.
内 部 LED4 と兼 用
内 部 LED2 と兼 用
No
2
4
6
8
10
12
14
16
18
20
信号名
AGND( ビデオ用 )
VIN2A
VIN2B
AGND( ビデオ用 )
P4_10
P4_9
P4_12
P4_7
AUDIO_CLK
DGND
備考
No
2
4
6
8
10
12
14
16
18
20
信号名
DGND
P6_4/D4
P6_2/D2
P6_0/D0
P3_1
P5_6
P5_4
P5_2
P5_0
DGND
備考
Np
2
4
6
8
10
12
14
16
18
20
信号名
NC
GND
GND
GND
GND
GND
GND
GND
GND
GND
備考
内 部 LED1 と兼 用
内 部 LED3 と兼 用
AUDIO CLK 出 力
信号名
DGND
P6_5/D5
P6_3/D3
P6_1/D1
P3_2
P5_7
P5_5
P5_3
P5_1
DGND
備考
FPGA と兼 用
FPGA と兼 用
FPGA と兼 用
FPGA と兼 用
FPGA と兼 用
FPGA と兼 用
CN7:マイコンデバッガコネクタ (XG4C-2031:オムロン)
No
1
3
5
7
9
11
13
15
17
19
9.8.
備考
CN6:拡張コネクタ(HIF3H-20PB-2.54DSA(71):ヒロセ)
No
1
3
5
7
9
11
13
15
17
19
9.7.
信号名
AGND( ビデオ用 )
VIN1A
VIN1B
AGND( ビデオ用 )
P3_0
P4_8
P4_13
P4_11
P4_6
DGND
信号名
VTref
nTRST
TDI
TMS/SWDIO
TCK/SWCLK
RTCK
TDO/SWO
nSRST
DBGRQ
DBGACK
備考
CN8:USB(miniB)コネクタ (XM7D-514:オムロン)
No
1
2
3
4
5
信号名
VBUS
DD+
ID
GND
備考
5V IN
NC
18
MP-RZA1H/FPGA-01
ROBin(ロビン)シリーズ
9.9.
CN9:FPGA JTAGコネクタ (XG4C-1031:オムロン)
No
1
3
5
7
9
信号名
TCK
TDO
TMS
NC
TDI
備考
No
2
4
6
8
10
19
信号名
GND
VCC
VIO
NC
GND
備考
2.5V
2.5V
MP-RZA1H/FPGA-01
ROBin(ロビン)シリーズ
10. 機能
10.1. RZ/A1Hのモード設定
ON
SW4
1 2 3 4
設定内容
SW4
SW4-1
SW4-2
SW4-3
SW4-4
ブートモード
ON :モード0 CS0空 間 16ビットブート
(ULink2/PRO 使 用 時 )
OFF:モード3 シリアルフラッシュブート
JTAGモード
ON :バウンダリスキャンモード (BSCANP 端 子 へ接 続 )
OFF:通 常 動 作
クロックモード
ON :USB_X1/水 晶 発 振 子
OFF:EXTAL/水 晶 発 振 子
SSCG動 作
ON :SSCG動 作 ON
OFF:SSCG動 作 OFF
備考
出 荷 時 設 定 :OFF
出 荷 時 設 定 :OFF
出 荷 時 設 定 :OFF
出 荷 時 設 定 :OFF
動 作 モードの詳 細 は RZ/A1H グループ ユーザーズマニュアル ハードウェア編 を参 照 して下 さい。
10.2. リセット
リセット信 号 を拡 張 コネクタより入 力 するか、拡 張 コネクタへ出 力 するかを 切 替 えます。
1
2
JP1
1番 側 ショート
出荷時設定
拡 張 コネクタ(CN2-59)へ リセット信 号 出 力
1
2
JP1
2番 側 ショート
拡 張 コネクタ(CN2-59)よりリセット信 号 入 力
10.3. 電源電圧監視
+ 5V 電 源 の電 圧 監 視 を有 効 にするか無 効 にするかを切 替 えます。
電 源 電 圧 の供 給 を +3.3V で行 う場 合 は無 効 にして下 さい。
1
2
JP2
出荷時設定
+5V電 源 の電 圧 を監 視 (+4.5V以 下 でNMI入 力 )
1
JP2
1番 側 ショート
2
2番 側 ショート
+5V電 源 の電 圧 を監 視 無 効
20
MP-RZA1H/FPGA-01
ROBin(ロビン)シリーズ
10.4. アナログリファレンス電源 内部+3.3Vと外部Vrefの切替え
アナログレファレンス電 圧 を拡 張 コネクタより供 給 する場 合 は R24 を未 実 装 にして下 さい。
また、リファレンス電 圧 の範 囲 は+3V~3.3V の範 囲 として下 さい。
R24 に 0Ω(1608 サイズ)抵抗を実装 (出 荷 時 設 定 )
アナログリファレンス電 源 =内 部 +3.3V
CN3-2: 内 部 +3.3V 出 力
R24 を未実装
アナログリファレンス電 源 =外 部 Vref
CN3-2: 外 部 Vref 入 力
10.5. シリアルFlashROM
MP-RZA1H/FPGA-01には標準で16MバイトのシリアルFlashROM(S25FL128S:SPANSION)が搭載されています。
ブートモード3 では、本シリアルFlashROMに登録してあるローダープログラムが、同じくシリアルFlashROMに登録した
ユーザーのアプリケーションプログラムを内蔵RAMに転送し、実行させます。
10.6. FRAM
MP-RZA1H/FPGA-01にはデータバックアップ用に標準で32KバイトのシリアルFRAM(FM25V02:RAMTRON)が
搭載されています。
10.7. USB
MP-RZA1H/FPGA-01にはデバッグ専 用 USB2.0 I/F(miniBコネクタ)を実 装 しています。
( MCU内 蔵 USBコントローラ チャネル0を使 用 )。
SW4-1(ブートモード)を OFFにし
① USBケーブルを接 続 した状 態 で電 源 を ONにするとシリアルFlashROMよりデバッグ用 ファームをブートし、
デバッグモニタが使 用 可 能 になります。( PC 側 は DEFnano で操 作 )
デバッグモニタによりプログラムのロード、シンボリックデバッグ、シリアル FlashROM の書 込 み等 が
行 えます。
② USB ケーブル未 接 続 の状 態 で電 源 を ON にするとシリアル FlashROM よりユーザプログラムをロードし
実 行 します。
10.8. LED
(1 )電 源 LED (LED7)
電 源 ON で点 灯 します。
(2 )汎 用 LED (LED1~4 : MCUI/O ポートに接 続 )
“L”で点 灯 します。
(3 )汎 用 LED (LED5,6 : FPGA I/O ポートに接 続 )
FPGA 経 由 で制 御 します。
詳 細 は7.FPGA用 サンプルI/O ロジックのI/Oレジスタ詳 細 を参 照 して下 さい。
21
MP-RZA1H/FPGA-01
ROBin(ロビン)シリーズ
10.9. スイッチ
(1 )モード選 択 用 DIP スイッチ (SW4)
10.1 RZ/A1Hのモード設 定 を参 照 して下 さい。
(2 )汎 用 DIP スイッチ (SW1 : MCUI/O ポートに接 続 )
ON の時 “L”を入 力 します。
OFF の時 “H”を入 力 します。
(3 )汎 用 DIP スイッチ (SW2 : FPGA I/O ポートに接 続 )
FPGA 経 由 でスイッチの入 力 を行 います。
詳 細 は7.FPGA用 サンプルI/OロジックのI/Oレジスタ詳 細 を参 照 して下 さい。
(4 )リセット用 タクタイルスイッチ
スイッチを押 すことによりリセットを行 います。
10.2 リセットの設 定 により拡 張 コネクタ(CN2-59)へリセット信 号 の出 力 が可 能 です。
22
MP-RZA1H/FPGA-01
ROBin(ロビン)シリーズ
11. 外部インタフェース
11.1. 拡張コネクタ
(1 )CN2-1,2,3,4 (EX5V)
この端 子 は CN1 と接 続 されています。よって CN1 の電 源 がこの端 子 より外 部 に供 給 されます。
また、この端 子 より電 源 の供 給 を受 ける場 合 は CN1 は使 用 しないで下 さい。
(2 )CN2-56 (ExNMI#)
本 ボード内 の NMI 信 号 を外 部 へ出 力 しま す。
また、外 部 より 本 ボードの MCU へNMI信 号 を入 力 します。
(3 )CN2-59 (ExRST#)
10. 2 リセットを参 照 して下 さい。
(4 )CN3-1 (AVCC)
本 ボード内 部 の MCU アナログ電 源 +3.3V を出 力 します。
(5 )CN3-2 (VREF)
10. 4 アナログリファレンス電 源 内 部 +3.3Vと外 部 Vrefの切 替 えを参 照 して下 さい。
(6 )CN4-49,5 0 (3.3V)
本 ボード内 部 のロジック電 源 +3.3V を出 力 します。
出 力 する場 合 は JP4 をショートして下 さい。
JP4 オープン (出 荷 時 設 定 )
CN4-49,50 にロジック電 源 +3.3V を出 力 しません 。
JP4 ショート
CN4-49,50 にロジック電 源 +3.3V を出 力 します。
注 .供 給 可 能 な電 流 は、外 部 出 力 (CN4- 49,50)+本 ボード内 +拡 張 I/Oポート消 費 などの総 合 計 で
1A 以 内 としてください。
23
MP-RZA1H/FPGA-01
ROBin(ロビン)シリーズ
11.2. USBバスパワー
USB バスパワーを使 用 する場 合 は JP3 をショートして下 さい。
JP3 オープン (出 荷 時 設 定 )
USB バスパワー未 使 用 。
JP3 ショート
USB バスパワー使用。
USB バスパワーを使 用 する場 合 はデバッグモニタが動 作 しますので、ユーザープログラムの起 動 は
できません。
ユーザープログラムを動 作 させる場 合 は CN1 コネクタより電 源 を供 給 して下 さい。
24
MP-RZA1H/FPGA-01
ROBin(ロビン)シリーズ
12. 外形寸法図
13. 回路図
別紙参照
25
MP-RZA1H/FPGA-01
ROBin(ロビン)シリーズ
14. 関連製品
14.1. 評価ボード(EV-RX/RZ-01)
MP-RZA1H/FPGA-01、MP-RX63N/F PGA-01 、MP-RX63N/CPLD-01 兼 用 の評 価 ボードです。
搭載機能
・LAN
・USB ホスト
・USB ファンクション
・CAN
・RS232C
・NMI スイッチ
・ユニバーサルパターン
14.2. 評価ボード(EV-RZ-01:開発中)
MP-RZA1H/FPGA- 01 専 用 の評 価 ボードです。
現 在 、試 作 評 価 中 です。製 品 時 は基 板 色 が青 色 になります。
搭載機能
・LAN
・USB ホスト
・USB ファンクション
・CAN
・RS232C
・NMI スイッチ
・デバッグ用 スイッチ/LED
・カラーグラフィック液 晶
・モノクログラフィック液 晶
・キャラクタ液 晶
・DC モータ
・NTSC カメラ入 力
・拡 張 コネクタ
26
MP-RZA1H/FPGA-01
ROBin(ロビン)シリーズ
14.3. RZ単体 MCU ボード (MP-RZA1H-01:開発中)
RZ/A1H MCU 単 独 ボードです。
現 在 、試 作 評 価 中 です。製 品 時 は基 板 色 が青 色 になります。
搭載機能
・RZ/A1H MCU
・シリアル FlashROM
・シリアル FRAM
・4.5V 電 圧 検 出
・各 水 晶 発 振 器
・RESET スイッチ
・DIP スイッチ,LED
14.4. RZ単体 MCU ボード コネクタ変換基板 (CNV-RZA1H-01:開発中)
RZ 単体 MCU ボードを評価ボード(EV-RX/RZ-01 または EV-RZ-01:開発中)へ接続するためのコネクタ変換ボードです。
現 在 、試 作 評 価 中 です。製 品 時 は基 板 色 が青 色 になります。
搭載機能
・部 品 面 :上 記 M P-RZA1H-01 用コネクタ
・半 田 面 :評 価 ボード用 コネクタ
27