Fragebogen PDF - Invent a Chip

V D E - B M B F - A K T I O N
F R AG E BO G E N
20
15
Mikrochips selbst entwerfen ! Der Chip an dir.
Antwortbogen
2015 online
Ein neues Zeitalter der Chips ist angebrochen. Telefon und Computer sind schon lange mobil, jetzt werden
die Chips tragfähig, und zwar direkt an dir oder deiner Kleidung. Dein Smartphone musst du noch in der
Hand halten, um es zu benutzen. Mit den tragfähigen Geräten, den Wearable Devices, wird dies anders.
Nun kannst du mit Handschuhen musizieren, deine Schuhe analysieren dein Laufverhalten und können
sogar Blinden zur Orientierung dienen. Fitnessbänder oder spezielle Armbanduhren kontrollieren deine
Bewegungen. Brillen unterstützen Chirurgen mit direkten Informationen während einer Operation und
weisen auch Blinden den Weg durch Übersetzen von Räumen in Klänge. Du kannst nicht nur Text,
Fotos oder Videos, sondern mit deiner Kleidung auch virtuelle Umarmungen verschicken.
Die tragbaren Chips werden unser Leben und unser Miteinander verändern. Das ist eine große Chance
und zugleich eine große Herausforderung, damit verantwortlich umzugehen.
Der Chip an dir: Er misst, analysiert, vernetzt sich, informiert oder warnt dich. Vielleicht bedienst
du ihn über deine Stimme oder indem du mit den Schultern zuckst. Welche Idee hast du für eine
Chipanwendung?
20
15
Neugierig geworden auf die Welt der Mikrochips? Dann
grammierung – auch das schickste Smartphone hat mal als
beantwortet als erstes die 20 Fragen – und motiviert viele
Holzmodell angefangen. Einreichungen zu anderen Themen
eurer Mitschülerinnen und Mitschüler zum Mitmachen! Die
sind selbstverständlich gleichrangig willkommen. Bewerbt
Schulen mit den meisten Fragebögen erhalten nämlich einen
euch mit eurer Idee für die Praxisphase. Die Experten des
Schulpreis, den ihr für eine tolle Party oder die technische
Institutes für Mikroelektronische Systeme (IMS) der Leibniz
Ausstattung von Arbeitsräumen einsetzen könnt. Spicken ist
Universität Hannover zeigen euch in einem Workshop, wie
übrigens erlaubt – vielleicht geben euch eure Fachlehrkräfte,
es geht. Während der Praxisphase des Wettbewerbs könnt
Ingenieure oder Wissenschaftler im Bekanntenkreis oder
ihr euch jederzeit mit euren Betreuern oder den anderen
Studierende hilfreiche Tipps beim Ausfüllen.
Teams austauschen. Zuhause benötigt ihr einen PC mit
Ihr wollt genauer wissen, wie Chips entwickelt werden und
Internetanschluss, Durchhaltevermögen und Freude an der
habt eine Idee für einen Mikrochip und seine mögliche An-
fortlaufenden Umsetzung in den folgenden 4 Monaten. Wir
wendung (ein Beispiel findet ihr im Menüpunkt „Infos und
wünschen euch viel Spaß und Erfolg!
Tipps“ auf www.invent-a-chip.de und zudem die Ideen der
Unter www.invent-a-chip.de findet ihr den Onlinebogen
vergangenen Jahre unter „Siegerprojekte“)? Ihr könnt euch
bis zum 31. März 2015 frei geschaltet. Nach Ablauf dieses
gerne vom Thema des „Chip an dir“ inspirieren lassen.
Termins ist kein Eintrag mehr möglich.
Anfangs wird euer Konzept natürlich nicht unsichtbar sein
Der Rechtsweg ist ausgeschlossen, es gilt das Datum des
– die Hardware muss an den Gürtel, in die Mütze oder den
Eintrags. Bei gleichwertigen Einreichungen entscheidet
Rucksack. Doch der Trick steckt in eurer Idee und der Pro-
das Los.
Teilnehmer/innen
Teilnehmer/innen ohne Chipidee
Teilnahmezertifikate und Antwortbogen:
„Schülerin oder Schüler der Jahrgangsstufe 8 bis 12 (13)
Jede/r Teilnehmer/in, ganz gleich ob mit oder ohne
„Antwortbogen bis zum 31. März 2015 online ausgefüllt
Chipidee, erhält ein individuelles Teilnahmezertifikat mit
„Teilnahme für Schulpreis
Punktzahl und den Lösungsbogen. Für Klassen bzw.
Kurse können die einzelnen Leistungen dargestellt werden.
zusätzlich: Bewerbung mit Chipidee
Lehrkräfte bekommen unter Angabe Ihrer E-Mail ebenfalls
„Einzelteilnehmer oder Team von maximal 4 Personen
den Lösungsbogen zugeschickt.
„Dokument mit Beschreibung der Chipidee eindeutig
benennen (Titel des Dokuments soll identisch sein mit
Schulpreis 2015:
dem im Antwortbogen genannten Titel der Chipidee)
Die Schulen mit den meisten Teilnehmern und besten
„Hochladen der Chipidee als Dokument (pdf oder doc etc.)
Lösungen erhalten Geldpreise in Höhe von 1.000, 500
oder 250 Euro.
Bei Teams:
„Jede/r Einzelne des Teams muss jeweils den Antwort­
bogen bis zum 31. März 2015 online ausfüllen
Praxisphase Chipentwicklung:
Die zwölf besten Teilnehmer/innen bzw. Teams, die von
„Eigens gewählter Teamname gewährt Zuordnung zur
der Jury ausgewählt werden, nehmen an der Praxisphase
Gruppe (bitte auf identische Schreibweise achten)
teil und konstruieren selbstständig einen funktionsfähigen
„Teamsprecher/in bestimmen als Ansprechpartner
für die Gruppe
„Hochladen des Dokumentes der Chipidee durch
Teamsprecher
Chip. Tatkräftige Unterstützung erhaltet ihr dabei von Wis­
senschaftlern der Leibniz Universität Hannover. Zu gewin­
nen gibt es hochwertige Preise (Geldpreise von
3.000, 2.000 und 1.000 Euro sowie Praktika) und eine
Menge Kontakte – die Sieger(teams) stellen ihre Ideen
auf dem Mikrosystemtechnik-Kongress am 26. Oktober
2015 in Karlsruhe vor.
Nähere Informationen im Wettbewerbs-Flyer oder unter
www.invent-a-chip.de
2
20
15
Der Chip an dir
Tragbare Elektronik bezeichnet alle elektronischen Technologien oder Computer, die bequem am Körper getragen werden
und z.B. auch in Kleidung eingebaut sein können. Diese tragbaren Chips können ähnliche Leistungen erbringen wie Handys oder Laptops, sie in manchen Bereichen sogar übertreffen. Da sie mit vielfältigen Sensoren verbunden werden können,
überprüfen sie z.B. Körperfunktionen oder geben auch Alarmsignale. Die Daten stehen nicht nur in Echtzeit zur Verfügung,
sie können auch gespeichert und verarbeitet werden. Tragbare Elektronik wird bereits in der Medizin, Fitness, bei Handicaps eingesetzt, aber auch bei Spielen oder in der Musik. Hörgeräte zählen sicher zu den Pionieren auf diesem Sektor.
Weitere Beispiele für solche „Wearable Devices“ im Alltag sind: Armbanduhren, Brillen, Kontaktlinsen, E-Kleidung, Kopf­
bänder, Kappen und Mützen, Schmuck wie Ringe oder Armbänder – mit inte­grierter Elektronik und Zusatzfunktionen.
Alle benötigen winzige Mikrochips, die immer kleiner und dünner und in der Kleidung zudem biegsam und stabil sein
müssen. Die Energieversorgung stellt eine weitere Herausforderung dar, da sie möglichst komfortabel, winzig klein
und langlebig sein soll.
Die Fragen
1Temperaturmessung
Eine permanente Temperaturüberwachung spielt in
der Sportmedizin eine wichtige Rolle. Bei Leistungs­
sportlern kann eine Langzeitüberwachung der
Körpertemperatur wichtige Erkenntnisse über den
Fitnesszustand liefern. Hierbei sind natürlich kleine
smarte Temperatursensoren gefragt, die den Sportler
nicht bei der Ausübung seines Sports behindern.
Wie viele Bits benötigt ein solches kleines
digi­tales Thermometer, wenn es in einem
Werte­bereich von 0 bis 50 Grad Celsius mit
einer Genauig­keit von 0,1 Grad Celsius
messen soll?
A 7Bit
B 8Bit
C 9Bit
D10 Bit
3
20
15
2Spannungsteiler
3
Energy Harvesting
Elektronische Geräte benötigen eine Spannungsquelle
Die mobile Energieversorgung ist für viele Anwen-
mit einer definierten Spannung. Mobile Messgeräte
dungen von grundlegender Bedeutung, allerdings
und Sensoren werden häufig von nur einer Span-
führt die Verwendung von herkömmlichen Akkus zu
nungsquelle gespeist, die zum Beispiel durch zwei
mehreren Problemen. Neben einem unkomfortablen
in Reihe geschaltete 1,5 V Mignon-Zellen (AA) und
regelmäßigen Wiederaufladen verkürzt vor allem das
der daraus resultierenden Gesamtspannung von 3 V
Altern von Akkus oftmals bereits nach wenigen Wo-
gebildet werden kann. Für manche mobile Sensoren
chen die zu erwartende Laufzeit von mobilen Geräten.
ist allerdings eine Signalanpassung, d.h. die Herabset-
Aus diesem Grund wird (wenn möglich) versucht,
zung der Versorgungsspannung, notwendig, da sie in
Energie aus dem Umfeld der elektrischen Schaltung
einem kleineren Spannungsbereich arbeiten. Diese Si-
zu gewinnen. Als Bezeichnung hierfür wird auch der
gnalanpassung kann man mit einem Spannungsteiler
englische Begriff „Energy Harvesting“ verwendet, der
erzeugen. Dabei unterscheidet man zwischen einem
wörtlich mit „Energie-Ernten“ übersetzt werden kann.
belasteten und einem unbelasteten Spannungsteiler.
Durch das piezoelektrische Energy Harvesting kann
Im unbelasteten Fall, also ohne Anschluss des Last-
mechanische Energie durch Erschütterungen oder
widerstandes RL in der unten dargestellten Schaltung,
Vibrationen in elektrische Energie umgewandelt wer-
haben die Widerstände R1 und R2 denselben Wert.
den. Es wurde experimentell gezeigt, dass mit Hilfe
Somit sind die Teilspannungen U1 und U2 gleich groß
eines Rucksackes die mechanische Energie eines
und haben die halbe Versorgungsspannung U.
Fußgängers durch piezoelektrisches Energy Harvesting
verwendet werden kann, um z.B. ein Handy zu laden.
I
Der Akku eines Smartphones soll 28,7 kJ Energie
speichern können. Die im Durchschnitt durch piezo­
R1
U
U1
elek­trisches Energy Harvesting aus dem Ruck­sack
eines Spaziergängers gewonnene Leistung
IL
wird mit 4,98 W Leistung angenommen.
R2
U2
RL
vollständig zu laden?
A
0,97 Stunden
B
1¾ Stunden
Welche der folgenden Aussagen ist richtig,
C
191 min
wenn alle drei Widerstände R1, R2 und RL
D
1 Stunde 36 min
denselben Wert haben und der Lastwiderstand
angeschlossen wird?
4
Wie lange müsste der Spaziergänger umher­
laufen, um seinen komplett leeren Akku wieder
A
Der Gesamtstrom I sinkt auf die Hälfte.
B
Die Teilspannung U2 sinkt von U/2 auf U/3.
C
Die Teilspannung U2 verdoppelt sich.
D
Die Teilspannung U2 sinkt von U/2 auf U/4.
20
15
42er-Komplement
6GPS-Tracker
Mit verschiedenen Sensoren, die man auch am
Eine häufig genutzte Anwendung tragbarer Elektro-
Körper tragen kann, werden die unterschiedlichs-
nik im Sport sind GPS-Tracker, die die zurückgeleg-
ten Messwerte und Messgrößen aufgezeichnet
ten Wegstrecken aufzeichnen. Auch können damit
und erfasst. Temperatursensoren, mit denen
entlaufene Hunde oder Katzen lokalisiert werden.
man zum Beispiel die Außentemperatur messen
Weiterhin werden solche Geräte auch im Bereich
möchte, müssen natürlich auch in der Lage sein,
der Digitalfotografie eingesetzt, um Bilder über die
negative Werte, also z.B. -5 Grad Celsius, auf-
Aufnahmezeit mit einer Position zu versehen.
zunehmen. Diese negativen Werte werden dann
Um einen neuen GPS-Tracker für den Sportbe-
digital weiterverarbeitet. Für die Darstellung von
reich zu entwickeln, muss die notwendige Größe
negativen Zahlen in der Digitaltechnik benutzt man
des Speichers abgeschätzt werden. Es wird an-
die sogenannte 2er-Komplement-Darstellung.
genommen, dass ein GPS-Wegpunkt mit 32 Bit
Zur Weiterverarbeitung der digitalen Sensorda-
kodiert wird. Ein durchschnittlicher Jogger läuft
ten muss oftmals die Wortbreite, d.h. die Anzahl
mit 12 km/h und etwa 45 Minuten lang.
der Bitstellen, entsprechend erhöht werden.
Wie groß muss der Speicher des Trackers
Wie lautet die auf 16 Bit erweiterte 2er-Komple-
mindestens dimensioniert sein, um den komplet­ten
ment-Darstellung der 6 Bit 2er-Komplement-
Weg des Joggers aufzuzeichnen, wenn alle 50 cm
Zahl 1001102?
ein Wegpunkt aufgenommen werden soll?
A
1100 1001 1010 01102
A
70,3125 KByte
B
0000 0000 0010 01102
B
35,4215 MByte
C
1111 1111 1110 01102
C
70,5685 KBit
D
Die Erweiterung einer 2er-Komplementzahl auf
D
15,9865 KByte
16 Bit ist nicht möglich
7
5Schlafphasenwecker
Laufzeit von akkubetriebenen Systemen
Die Akkulaufzeit von Wearable Devices, also tragbarer
Unter Schülerinnen und Schülern, Studieren-
Elektronik, ist ein wichtiges Produktmerkmal und kann
den und Berufstätigen ist die Morgenmüdigkeit
kaufentscheidend sein. Daher muss bei der Entwicklung
ein weit verbreitetes Phänomen. Studien haben
von solchen Systemen besonders auf den Energieum-
gezeigt, dass diese Müdigkeit mit der Schlafpha-
satz, insbesondere die Verlustleistung der eingesetzten
se einhergeht, in der sich ein Proband befindet,
Chips, geachtet werden. Für ein solches Gerät soll ein
wenn er geweckt wird. Daher werden so genann-
Chip verwendet werden, der mit einer maximalen Takt-
te Schlafphasenwecker immer beliebter, die die
frequenz von 1 GHz betrieben werden kann. Dieser weist
Schlafphasen analysieren und den Benutzer in
eine dynamische Verlustleistung von 0.5 mW/MHz auf,
einem gewissen einstellbaren Zeitraum in der für
während die statische Verlustleistung aller Komponenten
das Wecken optimalen Schlafphase wecken.
bei 20 mW liegt. Das Wearable Device soll mit einem
Akkumulator betrieben werden, der eine Nennspannung
Welche Art von Sensoren wird in Schlafphasen-
von 3,7 V und eine Kapazität von 1440 mAh aufweist.
weckern eingesetzt?
Mit welcher durchschnittlichen Taktfrequenz darf
AHygroskope
der Chip maximal betrieben werden, damit das
BTemperatursensoren
Gerät mindestens 24 Stunden in Betrieb sein kann?
CBeschleunigungssensoren
DLichtsensoren
A
101 MHz
B
202 MHz
C
404 MHz
D
808 MHz
5
20
15
8
Digitale Hörgeräte
9EKG
Ein bekanntes Beispiel für tragbare Elektronik sind
Im Leistungssport wird das Training inzwischen durch
Hörgeräte. Analoge Hörhilfen wurden in den letzten
kontinuierliche Analyse verschiedener Körperfunkti-
Jahren zunehmend durch digitale Hörgeräte abge-
onen der Sportler optimiert. Dadurch ergibt sich die
löst, da diese neben der eigentlichen Verstärkung
Möglichkeit, Trainingspläne optimal auf die jeweiligen
von Audiosignalen auch bestimmte Signalverar-
Sportler abzustimmen. Ähnliche Methoden werden
beitungsschritte einsetzen, die den Tragekomfort
aber auch in der Medizin eingesetzt, um einen ge-
und die Effizienz dieser Geräte erheblich steigern
nauen Überblick über den Gesundheitszustand eines
können. Da diese Signalverarbeitungsschritte jedoch
Patienten zu erlangen. Neben der Messung von
unmerklich schnell ablaufen sollten, ist eine gute Ab-
Körpertemperaturen, Laktatwerten und der Analyse
stimmung der Bearbeitungszeit und der gewählten
von Atemgasen ist es auch möglich, mit mobilen
Hardwarearchitektur wichtig, um gleichzeitig einen
EKG-Geräten ein Langzeit-EKG aufzuzeichnen.
angemessenen Energieverbrauch zu gewährleisten.
Eine Verzögerungszeit zwischen Eingangs- und Aus-
Die Überwachung des Herzens, z.B. eines
gangssignal (auch Latenz genannt) von bis zu 15 ms
Sportlers, wird mithilfe von EKG-Geräten
wird in Audioanwendungen typischerweise als unpro-
durchgeführt. Welche elektrische Größe
blematisch angesehen. Einen Teil dieser Zeit benötigt
wird durch das Gerät erfasst?
jedoch auch der Analog-Digital-Wandler, bevor das
Audiosignal bearbeitet werden kann. Nach der Be­
A
arbeitung muss das Audiosignal darüber hinaus auch
wieder zurückgewandelt werden. Der Einfachheit
B
halber soll hier davon ausgegangen werden, dass
beide Wandlungsschritte jeweils 4 ms Zeit benötigen.
Wie viele Takte stehen für den HörhilfenAlgorithmus zur Verfügung, wenn die digitale
Schaltung mit einem Taktsignal mit einer
Frequenz von 50 MHz versorgt werden soll?
A
1,8 * 106
B
3,5 * 105
C
18 * 107
D35000
6
Die elektrische Leistung, die beim Bluttransport in
mechanische Arbeit umgesetzt wird.
Die elektrische Spannung, die in den Herzmuskel­
zellen entsteht.
C
Der elektrische Widerstand, den das Herz aufweist.
D
Der elektrische Strom, der durch das Gehirn
generiert wird.
20
15
10 Codierung von Kommazahlen eines Luftdrucksensors
Bei der Messwertaufnahme von Sensoren können auch Kommazahlen auftreten. Aufgrund der zwangsläufig begrenzten
Bitbreite in Speicherblöcken und arithmetischen Modulen von digitalen Schaltungen werden Kommazahlen in digitalen
Schaltungen oft anders dargestellt als in unserer alltäglichen Schreibweise im Dezimalsystem. Man unterscheidet zwischen
einer Festpunkt- und Gleitkomma-Zahlendarstellung, wobei erstere eine festgelegte Bitanzahl vor und nach der Position
des Kommas der Zahl aufweist. Hierdurch wird der darstellbare Zahlenbereich jedoch stark begrenzt. Weder sehr kleine
noch sehr große Zahlen lassen sich ohne weiteres darstellen. Dieses Problem wird durch das Gleitkomma-Zahlen­format
angegangen, indem eine Zahl
z folgendermaßen beschrieben wird:
z = m * be
Dabei bezeichnet man
m als die sogenannte Mantisse, b
als die Basis der Zahlendarstellung und
e
als den Exponenten.
3
Im Dezimalzahlensystem bedeutet dies beispielsweise, dass die Zahl 8640 auch als 8, 64*10 in der GleitkommaZahlendarstellung beschrieben werden kann.
Damit diese Darstellung eindeutig ist, muss weiterhin auch beachtet werden, dass die Zahl vor dem Komma einstellig und
größer Null ist. Für binäre Zahlen folgt daher, dass die Mantisse immer mit einer eins vor dem Komma beschrieben wird,
weshalb die in einer binären Gleitkommazahl beinhalteten Bits der Mantisse lediglich ihre Nachkommastellen beschreiben.
Darüber hinaus wird von der gespeicherten Zahl des Exponenten der feste dezimale Wert 127 abgezogen, um auf den
tatsächlichen Exponenten zu kommen. Dies wird genutzt, um auch negative Expo­nenten einfach darzustellen. In einer
32 Bit großen binären Zahl werden im Gleitkomma-Zahlenformat die einzelnen Bits folgendermaßen interpretiert:
1 Bit
8 Bit
Vorzeichen
Vorzeichen Exponent
Exponent
der Mantisse
der Mantisse
23 Bit
Mantisse
Mantisse
Ein Luftdrucksensor einer Smartwatch soll die regelmäßig aufgenommenen Werte zwecks weiterer Berechnungen als Kommazahl im 32 Bit Gleitkomma-Zahlenformat speichern.
Welche der folgenden Zahlen entspricht einem Dezimal-Zahlenwert von 860,125 hPa?
A
0 1 0 0 0 1 0 0 0 1 0 1 0 1 1 1 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0
B
0 0 0 0 0 1 0 0 1 1 0 1 0 1 1 1 0 0 0 1 1 1 0 0 1 0 0 0 1 0 0 0
C
1 1 0 0 0 1 0 1 0 1 0 1 0 1 1 1 0 0 0 1 1 1 0 0 1 0 1 0 1 0 0 1
D
0 1 0 0 0 1 0 0 0 1 0 1 1 1 1 1 0 0 0 1 1 1 0 0 1 0 0 0 1 0 1 0
7
20
15
Fragen zum Chipdesign
Die meisten elektronischen Geräte in unserem Umfeld sind erst durch fortschreitende Technologien und die Miniaturisierung
elektrischer Schaltungen ermöglicht worden. Oft basieren diese auf sogenannten Mikrochips (Integrierte Schaltungen), die
u. a. in Steuerungen für die Energieversorgung integriert sind, Sensordaten erfassen und der komplexen Vernetzung und
Auswertung aller Daten dienen können. Nicht zuletzt sind sie in jedem Smartphone zu finden. Und sie sind das Herzstück
der tragbaren Elektronik, ob in Hörgeräten, pulsmessenden Armbanduhren, Datenbrillen oder intelligenter Kleidung.
Mikrochips müssen jeweils spezifische Anforderungen erfüllen – einen kleinen Einblick in die komplexe Welt der Chips
bekommt ihr im folgenden Teil.
Grundlage jedes heutigen Computersystems sind drei logische Operationen, auf denen alles Weitere aufbaut. Diese drei
logischen Operationen sind das logische UND, ODER und NICHT. In der Abbildung sind die Schaltsymbole der logischen
Operatoren dargestellt. Diese Schaltsymbole sind allgemein gültig und international verständlich.
&
≥1
Logisches UND
Logisches ODER
Logisches NICHT
Die einzelnen logischen Operationen sind durch sog. Wahrheitstabellen definiert. Das logische UND gibt am Ausgang
nur dann den logischen Wert „1“ aus, wenn die beiden Eingänge einen logischen Wert „1“ haben, ansonsten führt der
Ausgang den logischen Wert „0“.
x1
x2
&
y
x1
x2
y = x1 UND x2
0
0
1
1
0
1
0
1
0
0
0
1
Die verwendeten elektronischen Bauelemente zur Realisierung einer logischen Operation werden auch als Logikgatter
bezeichnet. Ihr zeitliches Verhalten wird durch ein sog. Timing-Diagramm beschrieben. Neben den einzelnen möglichen
Wertekombinationen der Eingänge und dem daraus resultierenden Ausgang kann damit zusätzlich der Zeitverlauf einer
Schaltung dargestellt werden. Man erkennt hier, dass am Ausgang im zeitlichen Verlauf erst dann „1“ (obere waagerechte
Linie) anliegt, wenn sowohl am Eingang x1 als auch Eingang x2 eine „1“ anliegt.
Eingang x1
t
Eingang x2
t
Ausgang y
t
8
20
15
11Signalverlauf
Gegeben ist die folgende Schaltung, bestehend aus
normal als Q aus und einmal invertiert. Dazu sind unten
einem Speicherelement, dem sogenannten D-Flipflop,
verschiedene Signalverläufe angegeben, die die Ein-
und mehreren Logikgattern. Das dargestellte Speicher­
und Ausgänge der gegebenen Schaltung darstellen
element übernimmt bei einer steigenden Taktflanke
sollen. Dabei sind die Verläufe von clk, rst, a und b immer gleich, nur die Ausgangssignale z und –z variieren.
des Taktsignales clk den Eingang D und gibt ihn einmal
a
b
rst
&
≥1
&
D
CLR
Q
z
Q
z
clk
Welcher der folgenden Signalverläufe entspricht der Schaltung?
A
clk
C
B
clk
rst
rst
a
a
b
b
z
z
z
z
clk
D
clk
rst
rst
a
a
b
b
z
z
z
z
9
20
15
12 Endliche Zustandsautomaten
Die Steuerung und Überwachung von bestimmten
eines Systems und die Übergänge zwischen diesen
Anwendungen wird mit Hilfe von endlichen Zustands­
Zuständen aufgrund äußerer Ereignisse dar.
automaten realisiert. Ein endlicher Zustandsauto­mat be-
Nachfolgend ist ein Beispiel für ein Zustandsüber­
steht aus einer endlichen Anzahl von Zuständen, sowie
gangs­diagramm eines sogenannten Moore-Auto­maten
Eingängen und Ausgängen. Hierbei werden zwei grund-
abgebildet, der die Steuerung einer ein­fachen Zähler­
sätzliche Typen von Zustands­auto­maten unter­schieden,
schaltung realisiert. Nach dem Start des Zählers läuft
und zwar die Mealy-Automaten und die Moore-Auto­
dieser los und zählt bis zu einem bestimmten Zähler­
maten. Die Darstellung von Zustands­automaten erfolgt
wert. Ist der Zähler­wert erreicht, zeigt er dies an und
in sogenannten Zustands­übergangsdiagrammen.
wartet solange, bis der Zähler wieder zurück­gesetzt
Ein Zustands­über­gangs­diagramm stellt die Zustände
wird.
Zähler läuft
1
ignal=
Starts
Ruhezustand
Startsignal=0
Zählerstand=0
Zähle
r zurü
ckset
zen=1
Zählwert nicht
erreicht
Zählwert
erreicht=1
Zähler stoppt
Zählwert
erreicht
Welche Aussage über einen Moore-Automaten ist richtig?
A
Die Folgezustände sind unabhängig von den
jeweiligen Eingängen.
B
Die Folgezustände sind unabhängig vom aktuellen
Zustand.
C
Die Ausgabewerte sind abhängig von den jeweils
anliegenden Eingängen.
D
Die Ausgabewerte sind nur abhängig von dem
jeweiligen Zustand.
10
Zählwert
erreicht=0
Zähler
zurücksetzen=0
20
15
13Zähler
15Verzögerungszeit
Für die Realisierung der endlichen Automaten werden
Bei fast allen digitalen Schaltungen werden interne,
Zähler benötigt, die bei jedem Taktimpuls (positive
taktgesteuerte Speicherelemente verwendet. Die
Flanke des Taktes) den Zählerstand erhöhen. Die
Taktfrequenz so einer Schaltung soll dabei möglichst
maximale Taktfrequenz bestimmt dabei die
nahe an der physikalisch maximal erreichbaren Gren-
Geschwindig­keit des Zählers.
ze liegen, um eine möglichst schnelle Schaltung
zu erreichen.
Wie groß muss der maximale Zählerwert
gewählt werden, wenn die maximal zu erfas­
Die Taktfrequenz wird jedoch von unterschied­
sende Zeit 15 Sekunden und die Taktfrequenz
lichen Größen beschränkt. Welche der folgen-
5 MHz beträgt?
den Größen begrenzt sie am STÄRKSTEN?
A
300 000
A
Bitbreite der verwendeten Speicherelemente
B
75 000 000
B
Verzögerungszeit der Logikgatter zwischen den
C
750 000
D
15 000
Speicherelementen
C
Betriebstemperatur des Chips
D
Durchmesser der Pins des Chips
14Hardware-Beschreibungssprache
Hardware-Beschreibungssprachen werden eingesetzt, um Logikgatter und ihre Verschaltung untereinander zu beschreiben. Der folgende Codeausschnitt
ist in der Hardware-Beschreibungssprache VHDL
geschrieben und stammt aus der Beschreibung eines
großen Chips, erfüllt aber eine sehr wichtige Aufgabe.
Welche Funktion wird in dem VHDL-Code
mit den Eingängen a, b und c, sowie den
Ausgängen d und e realisiert?
process(a,b,c)
begin
d <= a xor b xor c;
e <= (a and b) or (a and c) or (b and c);
end process;
ARegister
BSubtrahierer
CAddierer
DMultiplexer
11
20
15
16 Analyse eines Timingdiagramms
Heutige Chips können aus Milliarden einzelner Transis-
Mit Hilfe von Timingdiagrammen lässt sich das
toren bestehen. Die Transistoren übernehmen hierbei
zeitliche Verhalten solcher logischen Funktionen
die Funktion einfacher Schalter, die sich ein- oder
analysieren. Sind die Eingangssignale x1 und x2 und
ausschalten lassen. Durch eine geschickte Kombina-
das daraus resultierende Ausgangssignal y bekannt,
tion der Schalter ist es möglich, logische Funktionen
so lässt sich die unbekannte logische Funktionen
(z. B. logisches UND) zur Realisierung der Booleschen
daraus benennen. Durch den systematischen Ver-
Algebra, also der Kombination mehrerer logischer
gleich der vorkommenden unterschiedlichen Ein-
Operationen wie z. B. y = x1 UND x2 ODER x3, auf der
gangsbelegungen in einer Wertetabelle kann die
alle unserer Computersysteme basieren, aufzubauen.
unbekannte logische Funktion gefunden werden.
Wie heißt die gesuchte logische Funktion, die durch das folgende Timingdiagramm beschrieben wird?
Eingang x1
t
x1
x2
Unbekannte
logische
Schaltung
y
Eingang x2
t
AOR
Ausgang y
BXOR
t
CNOR
DNAND
17Logikanalyse
Bevor ein Chip gefertigt wird, sollte die entworfene
erkannt werden, d. h. eine logische „1“ oder eine
Schaltung auf korrekte Funktion überprüft werden. logische „0“, und keine analogen zeitlichen Verläufe.
Zur Verifikation einer Schaltung wird ein Logikanalysa-
Wichtig bei der Analyse sind neben der Abtast­
tor eingesetzt. Das Messgerät zeichnet den Zeitverlauf
frequenz, mit der die Ausgangssignale der Schaltung
von digitalen Signalen auf, indem es zu bestimmten
von dem Logikanalysator abgetastet werden, auch
Zeitpunkten die Ausgangssignale der Schaltung auf-
die Speichertiefe und damit die mögliche Auf­zeich­-
nimmt. Dabei können nur einzelne Ausgangszustände
nungs­­dauer.
Welcher Zeitraum kann mit einem Logikanalysator aufgezeichnet werden, wenn man 40.000 Messpunkte
speichern kann und ein einzelnes Signal mit einer Abtastfrequenz von 40 MHz abtasten möchte?
12
A
0,4 s
B
4 ms
C
1 ms
D
16 ms
20
15
18 Realisierung von logischen Funktionen auf FPGAs
Ein FPGA (Field Programmable Gate Array) ist eine re-
Die Tabellen sind so aufgebaut, dass die Eingangs-
konfigurierbare Schaltung, das heißt, die tatsächliche
signale bzw. die invertierten Eingangssignale zusam-
Funktion kann durch einen Anwender mit Hilfe einer
men mit den im SRAM-Speicher abgelegten Tabel-
Hardware-Beschreibungssprache festgelegt werden.
lenwerten an sogenannten Passtransistoren anliegen,
Wesentliche Elemente eines FPGAs sind Felder aus
die funktional gesehen logischen UND-Gattern ent-
Basisblöcken, die durch spezielle Verbindungsstruk-
sprechen. Für jede Eingangsbelegung kann somit in
turen miteinander verknüpft sind. Jeder dieser Basis-
der Tabelle die entsprechende Speicherposition der
blöcke besteht aus programmierbaren Tabellen (engl.:
Tabelle ausgewählt und an den Ausgang y weiter­
LUT, lookup table), in die beliebige logische Funktionen
gegeben werden. Da jede der vier möglichen Ein-
abgelegt werden können. Durch das entsprechende
gangskombinationen (jeweils logische Werte 1 und 0
Programmieren vieler einzelner Tabellen lassen sich so
für Eingang x1 und x2) dabei nur einmal auftritt, ist die
sehr komplexe Funktionen auf einem FPGA realisieren.
Tabelle bezüglich der realisierten Funktion eindeutig.
Welche logische Funktion wird in dem Basisblock mit den Eingängen x1 und x2 und dem Ausgang y realisiert?
x1
x2
SRAM
Speicher
1
1
y
1
0
AOR
BNAND
CNOR
DXOR
13
20
15
19Datensicherheit
Heutige mobile Geräte verfügen über eine Vielzahl
lungsalgorithmen zum Einsatz. Diese benötigen zwar
von drahtlosen Schnittstellen, beispielsweise WLAN,
Rechenleistung an den Kommunikationsendpunkten,
Bluetooth NFC oder ZigBee. Über diese Schnittstellen
jedoch stellen sie je nach Qualität des Verfahrens si-
können Daten mit anderen Geräten, sowie Sensoren
cher, dass kein Unbefugter Zugriff auf die Daten erhält.
und Aktoren ausgetauscht werden. Eine drahtlose
Eine sehr einfache Möglichkeit, einen Datenstrom zu
Datenübertragung birgt jedoch unter dem Gesichts-
verschlüsseln, ist dabei eine XOR-Verknüpfung eines
punkt der Datensicherheit ein gewisses Risiko, da
seriellen Datenstroms mit dem Ausgang eines linear
eine drahtlose Kommunikation mitgelesen werden
rückgekoppelten Schieberegisters (eng. linear feed-
kann, ohne dass Zugang zu einem geschützten Me-
back shiftregister, LFSR). Das LFSR stellt dabei einen
dium erlangt werden muss, wie es zum Beispiel bei
Pseudozufallsgenerator dar, der – wie im Bild zu sehen
Ethernet-Verbindungen der Fall ist. Daher kommen
– aus einer Kette von Speicherelementen besteht, in
bei drahtlosen Verbindungen verstärkt Verschlüsse-
der Regel sind dies D-Flipflops, und XOR-Logikgatter.
LFSR
=1
D Q
D Q
D Q
D Q
'1'
'1'
'1'
'1'
clk
t7
t0
0 0 1 1 1 1 1 0
=1
t7
t0
In einem Pulsgurt wird eine LFSR-basierte Verschlüsselungsstufe eingesetzt, um die Übertragung
der Herzfrequenz zu verschlüsseln. Wie lautet die Ausgangsfolge der Verschlüsselungsstufe auf
die Eingangsfolge „00111110“ des Sensors nach 8 Taktzyklen? Geht dabei davon aus, dass die
Speicherzellen mit einer Einsfolge („1111“) initialisiert wurden sind (im Bild blau dargestellt).
A„11100010“
B„10010001“
C„10011110“
D„01111000“
20 Messungen an gefertigten Schaltungen
Nach der Fertigung eines Chips wird dieser typischer-
mit hoher Packungsdichte, das heißt unter anderem
weise auf einer Leiterplatte mit anderen Komponenten,
mit sehr nah beieinander liegenden elektrischen
Anschlüssen oder Bedienelementen verschaltet. Diese
Leitungen, kann es zu dem sog. Übersprechen
Schaltung sollte dann noch einmal abschließend verifi-
zwischen den Leitungen kommen. Ist dieser Effekt
ziert werden, denn in Einzelfällen kann die Verdrahtung
stark genug ausgeprägt, können auch Fehler in den
selber zu Problemen führen, auch wenn die einzel-
digitalen Signalen entstehen. Stellt man ein solches
nen verbundenen Komponenten fehlerfrei arbeiten.
Phänomen fest, versucht man die Ursache bzw.
Gerade bei hochfrequenten Signalen in Schaltungen
das übersprechende dynamische Signal zu finden.
Welches der folgenden Messgeräte eignet sich zur Untersuchung von Signalübersprechen
zwischen zwei Leiterbahnen?
AMultimeter
BSchieblehre
COszilloskop
DMikroskop
14
20
15
Antwortbogen bis zum 31. März 2015 online ausfüllen auf:
www.invent-a-chip.de
Hier könnt ihr eure Antworten markieren, falls ihr mit der
Papier­version des Fragebogens arbeitet.
Die Antworten und eure Daten sind einzutragen unter
www.invent-a-chip.de. Dort reicht ihr auch eure Chipidee ein.
Rückfragen ? Bitte E-Mail an [email protected]
Fragen:
Die richtigen Antworten lauten:
1Temperaturmessung
ABCD
2Spannungsteiler
ABCD
3
ABCD
Energy Harvesting
42er-Komplement
ABCD
5Schlafphasenwecker
ABCD
6GPS-Tracker
ABCD
7
Laufzeit von akkubetriebenen Systemen
ABCD
8
Digitale Hörgeräte
ABCD
9EKG
ABCD
10 Codierung von Kommazahlen eines Luftdrucksensors
ABCD
11Signalverlauf
ABCD
12 Endliche Zustandsautomaten
ABCD
13Zähler
ABCD
14Hardware-Beschreibungssprache
ABCD
15Verzögerungszeit
ABCD
16 Analyse eines Timingdiagramms
ABCD
17Logikanalyse
ABCD
18 Realisierung von logischen Funktionen auf FPGAs
ABCD
19Datensicherheit
ABCD
20 Messungen an gefertigten Schaltungen
ABCD
20
15
Die Partner von INVENT a CHIP 2015
Wissenschaftliche Betreuung:
Autoren des Fragebogens und wissenschaftliche Berater:
Prof. Dr.-Ing. Holger Blume
und das Team der wissenschaftlichen Mitarbeiter des Instituts
Der VDE ist Partner der BMBF-Initiative:
e.V.
Stresemannallee 15
60596 Frankfurt am Main
BMBF Bundesministerium für Bildung und Forschung
Referat 523
Heinemannstr. 2
53175 Bonn
Projektteam INVENT a CHIP
Anja Rottke
Telefon 0228 5347347
E-Mail [email protected]
Impressum:
Herausgeber: VDE Kommunikation + Public Affairs
Fotos: VDE
Gestaltung: Kellermann · GrafikDesign · Schwielowsee Caputh
VERBAND DER ELEKTROTECHNIK ELEKTRONIK INFORMATIONSTECHNIK