PROCESSNEWS - Oxford Instruments

0614
PROCESSNEWS
Follow us on...
A Newsletter from Oxford Instruments
Plasma Technology (OIPT)
@oxinst
Welcome to this issue of
PROCESSNEWS
LED, MEMS, Power Semiconductors and Graphene
are just a few of the many applications our suite
of tools can handle. Process articles within this
Spring 2014 issue cover several of these and
more, with contributions from our customers and
also our applications specialists.
/oxinst
IN THIS ISSUE
2/3
The winner of our SEM Competition
4
Low damage plasma processes
for compound semiconductor
applications
5
Nano-patterning silicon carbide
by Ga+ resistless lithography and
subsequent reactive ion etching
6/7
New advances in Inductively Coupled
Plasma Chemical Vapour Deposition
(ICPCVD)
7
Multi-million pound cluster system
order will improve the energy
efficiency performance of electronic
and optoelectronic devices
8
Tsinghua University buys multiple
plasma systems for quantum
computing
8/9
Nanoscale high aspect ratio Deep
Silicon Etching using the Bosch
process
10
Optoelectronics – The Light Fantastic
11
New heater option for the
PlasmaPro System400 sputter
module
12/13 Low Cost Polysilicon Nanoribbon
Biosensor by Thin Film Technology
14
Aluminium Oxide by Pulsed-flow
ICPCVD for Passivation of Silicon Solar
Cells
15
Looking towards the future of MEMS
and NEMS created lively discussions at
IEMN, Lille
16
New VP appointed to head Oxford
Instruments Plasma Technology USA
16
On-site training, and off-site courses
for 2014. We can come to you!
We’ve recently launched videos about some of our key application
areas, and they’re available on our website now.
LED – Power Semiconductors – MEMS – Graphene
Go to www.oxford-instruments.com/plasma-videos
and click on the links
PROCESSNEWS 1
COMPETITION
And the winner of our SEM Competition was…
’The World’s Smallest Coin’
0614
Made from diamond to celebrate the Diamond Jubilee of
Queen Elizabeth II By Andrew Greer, Glasgow University
Excellent
selection of
entries
We asked our customers to send us
interesting SEM images resulting from
work done on their Oxford Instruments
plasma systems.
We received a really high number of
entries and some of them are shown
here.
Created using an Oxford Instruments
Plasmalab System 100 ICP RIE. Yi Chen,
University of Illinois
Self assembled nano flowers of HOBT by
water evaporation, Ravula Thirupathi, Indian
Institute of Science
Polka Dots and Planets, H M Davies, UWS
Microfluidic flow-induced nanoporous
scaffolds Amy Shen, University of
Washington
Rod shaped silica nanoparticles
Brijitta J, Centre for Nanoscience and
Nanotechnology,Sathyabama University,
Chennai
Waveguide-coupled metal-cavity
nanolaserVictor Manuel Dolores
CalzadillaEindhoven University of Technology
Silicon Corals, Albert Hutterer, University of
Applied Sciences Regensburg
Nano Olympic torch, Using the Oxford
Plasmalab 65 ICP system.
Peter Kremer , Heriot-Watt University in
Edinburgh Scotland
Screwed carbon fiber looks like Rudyard’s
Kipling snake Kaa, Vladislav Sudin,
Lomonosov Moscow State University
Thanks to everyone who entered the
competition!
How it was created
Micro mirrors. Created using an Oxford
Instruments Plasmalab System100 ICPRIE. Lavendra Vadav Mandyam, Indian
Institute of Science
An epitaxial diamond layer above Si was nanopatterned and etched to produce a
diamond coin upon a Si back plate to commemorate the Queen’s Diamond Jubilee.
HSQ was exposed using an e-beam to define the details of the coin’s face. Secondly
PMMA was spin coated above the HSQ and exposed with an e-beam to define the
perimeter of the coin. Al was evaporated to act as the diamond etch mask and etching
was performed with an Oxford Instruments PlasmaPro System100 100 RIE tool.
The Al was then removed and the HSQ details transferred to the diamond using the
same etch tool.
Congratulations to Andrew who received Amazon vouchers, and thank you to all who
entered, please browse through the top entries on these pages.
2 PROCESSNEWS
Array of Microlenses, Andrew Bezinger,
National Research Council of Canada.
PROCESSNEWS 3
Low damage
plasma processes
for compound
semiconductor
applications
Nano-patterning silicon carbide by Ga+ resistless lithography
and subsequent reactive ion etching
Mathias Rommel, Susanne Beuer, Anke Haas, Maximilian Rumler
Fraunhofer Institute for Integrated Systems and Device Technology, Erlangen, Germany
Chris Hodson, Product Manager,
Oxford Instruments
A growing number of compound semiconductor
applications have highly sensitive interfaces where damage
is easily induced. Applications sensitive to damage include
GaN and SiC wide band gap power devices and front side
etch of GaAs RF devices. This presents many challenges for
plasma based etch and deposition processes where thermal,
ion or photon induced damage can occur unless steps are
taken to minimise their impact.
For example the passivation of an AlGaN/GaN surface
in a power HEMT requires careful control of the plasma
deposition process conditions to minimise ion energy and
avoid damage to the interface associated with trapped
charge and defect states. Some ion energy, however, may
be beneficial for the film properties, e.g. densification of the
film.
To find out more watch our webinar that reviews the
control of plasma conditions through process and hardware
parameters in deposition processes such as PECVD and ALD,
and review etch parameters necessary to produce smooth
features to enable low leakage devices.
Also watch our new Power Semi video
www.oxford-instruments.com/plasma
4 PROCESSNEWS
Silicon carbide (SiC), due to its wide
bandgap, high breakdown electric
field, high thermal conductivity, and
its excellent chemical and mechanical
stability is superior to silicon for
high temperature, high power,
high frequency or optoelectronic
applications.
A versatile and fast micro- and nano-structuring method
with high dimensional stability on flat and even pronounced
topographies can strongly improve the development and
optimization of corresponding devices such as actuators,
sensors, and MEMS (micro electro mechanical systems) by
enabling fast prototyping.
A process consisting of Ga+ resistless lithography (GaRL) and
subsequent reactive ion etching (RIE) offers these capabilities.
GaRL is based on local Ga implantation by focused ion beam
(FIB) with very low doses (compared to FIB direct milling) which
results in strongly retarded etching rates for the implanted
regions for wet etching and RIE (see figure 1). This patterning
approach is interesting for a large variety of applications as
GaRL has already been successfully
applied to various materials,
among them Si [1], SiO2 [2], and
diamond [3]. If RIE processes
are already established for the
materials of interest, the etch
mask fabrication using GaRL is
straightforward because the GaRL
step only needs minor adaption
for different materials. In addition,
as the ion beam is controlled
similar to an electron beam in
Figure 1 Patterning by e-beam lithography, the etch
GaRL and subsequent RIE mask definition itself is maskless
(schematic)
and very flexible (e.g., by using
0614
Figure 2 SEM image (tilted by 52 °) of an array of FIB
irradiated areas (each of 3x3 μm²) after RIE. Each area was
implanted with a different dose as indicated (dose in 1015 cm-2)
in the image starting.
bitmap images for pattern definition). Thus, it is well suited for
prototyping of structures or devices. Finally, the highly focused
ion beam allows addressing similar dimensions as an electron
beam offering final masking dimensions down to the sub-100
nm regime [1]. In this contribution, it is shown that GaRL and
Figure 3 SEM images (tilted by 52 °) of micro- and nanostructures in 4H-SiC fabricated by GaRL and subsequent RIE.
subsequent RIE can also successfully be applied for the flexible
patterning of SiC down to the nano-regime. The results were
first presented at the International Conference on Micro and
Nano Engineering in London in 2013 (MNE 2013).
All FIB experiments were performed with a FEI Helios Nanolab
600 FIB using a beam energy of 30 keV. Doses ranging
from approximately 1·1015 - 7·1017 cm-2 were implanted to
investigate the dose dependence of the masking behavior
of the implanted region and the superposition of masking
and direct milling effects where the latter start to dominate
for doses exceeding 2·1017 cm-2. The distance between the
raster pixels (beam overlap) was set to 50% of the nominal
beam diameter which is 17 nm for the applied current. The
experiments were performed on n-doped 4H-SiC samples. For
RIE, an Oxford Instruments PlasmaPro® System100 system
was used with a mixture of SF6 and O2 as process gases (18
sccm SF6, 9 sccm O2) at a gas pressure of 4 mTorr. The chuck
temperature was 50 °C.
To result in an efficient etch mask, only the implanted Ga dose
has to be optimized. From Fig. 2 it can clearly be observed that
different Ga doses lead to significantly different structures after
RIE. Most important, doses in the range of 2 - 7·1016 cm-2 are
optimum as etch mask. For that dose range nearly identical
structures with smooth surfaces were obtained with a height
of approximately 135 nm. For smaller doses, the masking effect
is obviously not sufficiently effective (see e.g., structures with
doses of 8·1015 cm-2 and 1.1·1016 cm-2 where the masking layer
virtually starts to “peel off” after RIE) whereas for higher doses
FIB implantation is already accompanied by FIB material removal
(i.e., FIB milling).
With such optimized masking doses, GaRL and subsequent RIE
could be successfully applied to fabricate very flexibly microand nano-structures in 4H-SiC as shown in Fig. 3. For some
applications, the remaining Ga-rich masking layer might be
undesirable. In such cases, e.g. a purely physical Ar sputtering
process might be used to remove that layer [1]. The presented
results clearly demonstrate that GaRL and subsequent RIE are a
viable option for flexible nano-patterning of silicon carbide.
[1] Rommel, M.; Rumler, M.; Haas, A.; Bauer, A.J.; Frey, L.:
Processing of silicon nanostructures by Ga+ resistless lithography
and reactive ion etching, Microelectronic Engineering 110, 177182 (2013)
[2] Rumler, M.; Fader, R.; Haas, A.; Rommel, M.; Bauer, A.J.;
Frey, L.: Evaluation of resistless Ga+ beam lithography for UV NIL
stamp fabrication, Nanotechnology 24, 365302 (2013)
[3] McKenzie, W.; Pethica, J.; Cross, G.: A direct-write, resistless
hard mask for rapid nanoscale patterning of diamond, Diamond
& Related Materials 20, 707 (2011)
PROCESSNEWS 5
New advances in Inductively Coupled Plasma
Chemical Vapour Deposition (ICPCVD)
Dr. Owain Thomas, Applications Team Leader, Oxford Instruments
Considerable interest has been directed towards the ability to
deposit high density dielectric films at low temperatures (<150
°C), especially in temperature-sensitive devices such as organic
LED’s. By using ICP-CVD technique, we at Oxford Instruments
have developed deposition processes in which high quality films
can be deposited with high density plasma, low deposition
pressures and temperatures. These result in advantages of
minimizing film contamination, promoting film stoichiometry,
reducing radiation damage by direct ion-surface interaction, and
eliminating device degradation at high temperatures.
requirement for substrate heating or post-deposition annealing.
Therefore this limits some of the application of poly-crystalline
TiO2 especially for temperature sensitive substrate materials e.g.
polymers.
We have utilised the ICPCVD technique in order to deposit
amorphous TiO2 at temperatures <1000C. The TiO2 layer has
been deposited using Titanium (IV) Isopropoxide (TTIP) as the
source of Ti together with O2. Various film properties have been
measured and the results are shown in figure and table 2 below.
Further work is planned to look at depositing layers as low as
room temperature.
Previously ICP-CVD has been used to deposit materials
using silane gas as the silicon source. Recently we at Oxford
Instruments have combined our experience in ICPCVD and our
capability in dealing with non gaseous precursors in developing
new processes and materials at low temperatures (<150oC).
TEOS based SiO2 in ICPCVD
Silicon dioxide deposition plays an important role in the
fabrication of devices; and can be already deposited by using
several methods, including APCVD, LPCVD and PECVD. The
SiO2 layer is normally deposited using silane and N2O - the use
of pure oxygen is avoided due to its highly reactive properties
with SiH4. PECVD-deposited SiO2 layers from silane are widely
employed when conformality is not critical. Good conformal
coverage is then achieved by the use of TEOS (tetraethoxysilane,
tetraethyl orthosilicate) instead of silane. In particular, TEOSbased PECVD enables approximately 85% conformal step
coverage, and the ability to control the degree of step coverage
by controlling the oxygen radicals within the plasma to vary
deposition directionality. In a similar manner we have used the
ICPCVD in order to deposit SiO2 using TEOS and O2 at much
lower temperatures (<150oC) compared to PECVD. In addition
ICPCVD gives the added ability to coat higher aspect ratio
structures by using lower pressures and with the potential of
adding RF bias to the lower electrode. The TEOS based SiO2
film stress can also be controlled from tensile to compressive by
adjusting ICP/RF power. Typical results are shown in figure and
table 1 opposite.
TiO2 deposition using ICPCVD
Titanium dioxide films have several applications, which include:
ultra-thin film high-k insulators in integrated circuits (ICs),
6 PROCESSNEWS
0614
Figure 1: SEM showing ICPCVD TEOS based SiO2 deposited at 100oC
on structures with trench width of ~12µm, depth of ~50µm, and
hence aspect ratio of ~4:1.
Process Parameter
TEOS based SiO2
Deposition Rate
>15nm/min
Film thickness Uniformity
<+/-5% (150mm)
Refractive Index
1.46
Film Stress
±300MPa
Wet Etch rates (10:1 BHF,
20oC)
<1.5µm/min at deposition
temperature 100oC
These recent advances have shown the capability of ICPCVD
in achieving high quality films at low temperatures. Therefore
due these additional benefits of ICPCVD several manufacturers
are now considering the ICPCVD technique as an alternative to
conventional PECVD.
Multi-million pound
cluster system order
will improve the energy
efficiency performance
of electronic and
optoelectronic devices
We recently received a multi-million pound order
for a complex deposition and analysis cluster system
from the James Watt Nanofabrication Centre at
the University of Glasgow. The system will enable
development
to improve the
energy efficiency
performance of
electronic and
optoelectronic devices for a large range of applications.
Our Plasma Technology and Omicron Nanoscience
businesses were able to provide the broad range of
technologies necessary for this ‘Powerhouse’ multichamber and multi-function system.
This four chamber cluster system combines: FlexAL
Atomic Layer Deposition tool used for depositing
very thin films of metals, oxides and nitrides using
both thermal and inductively coupled plasma (ICP)
ALD processes, a PlasmaPro System100 ICP for
etching of compound semiconductor materials and a
Table 1 ICPCVD TEOS based SiO2 - Typical film properties
surface passivation and biocompatible coatings due to their
chemical and thermal resistance. In addition due to the high
refractive index of TiO2 it is commonly used for antireflection
coatings on glass. It has also been proposed as a photoanode
layer in nanocrystalline solar cells and also as a photocatalytic
surface property.
The most common form is poly-crystalline TiO2. High quality
poly-crystalline TiO2 films are usually formed using various
vapour deposition techniques. Chemical vapour deposition
(CVD) is considered to offer the advantage of comparably
low film growth temperatures. However, anatase and rutile
are formed at 350°C and 800°C, respectively, hence the
Figure 2: SEM showing ICPCVD TiO2 deposited at 80oC on a
structure with an isolated~1µm step height
Process Parameter
TiO2 at <100oC
Deposition Rate
3-6nm/min
Refractive Index
1.8-2.1
Film Stress
-200MPa compressive to +400MPa tensile
PlasmaPro System100 ICP for High-Density PECVD
deposition system providing for low damage, low
temperature thin films; plus the Omicron Nanoscience
NanoSAM LAB, for surface sensitive chemical
analysis and high resolution imaging of small (micro
and nano) structures by Scanning Auger Microscopy
(SAM) and Scanning Electron Microscopy (SEM). These
systems will be combined in a unique configuration
and is a very exciting development for us.
Table 2 TiO2 - Typical film properties
PROCESSNEWS 7
Tsinghua University buys
multiple plasma systems
for quantum computing
Research into the emergent field
of quantum computing will be
carried out at the Institute for
Interdisciplinary Information
Sciences (IIIS), at Tsinghua University
in Beijing using our plasma systems.
The IIIS is currently installing a new cleanroom, and has
selected our tools to to undertake this key research, The
PlasmaPro 100 etch system, PlasmaPro100 PECVD
deposition system with TEOS, and a FlexAL ALD system,
are all ideal for this type of research due to their high
performance, flexibility and ease of service.
Dr. Song, Associate Researcher from Tsinghua University
said, “We chose these systems after a stringent tendering
process, comparing system functionality and cost. Our
decision to purchase its plasma etch and deposition tools
was due to Oxford Instruments’ wide range of processes
and applications, the suite of systems available from this
one global supplier, and the excellent service and support
available to customers. We are anticipating excellent results
from our cutting edge research.”
Nanoscale high aspect ratio Deep Silicon Etching
using the Bosch process
Katarzyna Korwin-Mikke, Zhong Ren, Mark McNie, Colin Welch Oxford Instruments
Current trends in silicon devices
demand shrinking etch dimensions
and higher aspect ratio features.
In conventional Bosch deep silicon etch (DSiE) processes,
the finite size of scallops and mask undercut (Figure 1) from
the alternating sequence of deposition and etch steps are
significant at the nanoscale. High aspect ratio etching is
challenging as the transport of neutral species becomes
increasingly limited as the number of sidewall collisions
increase. With increasing aspect ratio, microloading effects
appear and the balance between sidewall passivation and
etching becomes critical to maintaining good profile control.
The high rate and selectivity capability at the microscale
were traded for improved control at the nanoscale on the
PlasmaPro 100 Estrelas system by moving to a process
window utilising fast switching, low pressures and low powers.
A multi-stage recipe allowed for adjustments in process
parameters with increasing aspect ratio.
Figures 2 and 3 show 100nm features etched with good profile
and undercut control with an aspect ratio 20:1 and 45:1
respectively. The etch rate achieved was more than 200nm/
min with a selectivity to the oxide mask in excess of 20:1. By
comparison, the cryogenic process offers smooth sidewalls
and smaller minimum feature size capability (10nm) at slightly
higher rate and selectivity but is not capable of such high
aspect ratios (typically being limited to < 30:1). [1].
Acknowledgement
This article is a summary of a recently presented paper
at MNE 2013 [2].
For more information
www.oxford-instruments.com/plasma
8 PROCESSNEWS
The authors would like to thank the MIT Space
Nanotechnology Laboratory for providing samples for
nanoscale etching at Oxford Instruments and for granting
permission to publish the results.
0614
133nm
122nm
507nm
136nm
5.1nm
Figure 1 Undercut and scalloping in the Bosch process (1µm trench).
111nm
Figure 3 SEM of 200nm pitch trenches (AR » 45).
89nm
2.5nm
Figure 2 SEM of 200nm pitch trenches (AR >20).
References
[1] C C Welch, D L Olynick, Z Liu, A Holmberg, C Peroz, A P
G Robinson, M D Henry, A Scherer, T Mollenhauer, V Genova,
D K T Ng, “Formation of nanoscale structures by inductively
coupled plasma etching”, Proc. SPIE-8700, 2012
[2] K Korwin-Mikke, Z Ren, M E McNie, C C Welch, “High
Aspect Ratio Deep Silicon Etching in the Bosch Process at the
Micro/Nanoscale”, Proc. MNE, 2013
Want to know more about the PlasmaPro 100 Estrelas?
Contact [email protected] for a brochure
PROCESSNEWS 9
Optoelectronics –
The Light Fantastic
New heater option for the
PlasmaPro System400 sputter module
Dr Mark Dineen, Product Manager, Oxford Instruments
Cigang Xu, Robert Teagle, David Bradley, Louise Bailey, Gary Proudfoot,
Suidong Yang and Mike Cooke, Oxford Instruments
Wikipedia describes Optoelectronics as the
study and application of electronic devices that
source, detect and control light. While this
is factually correct, it doesn’t even begin to
describe the importance and potential of these devices in today’s world.
For example light as a medium for communicating has been
around for a long time, watch towers on the Great Wall of
China used fire to signal warnings to each other. Fast forward
a thousand years and you have fibre optic cables running from
New York to London capable of transferring a signal across the
Atlantic in 0.06 seconds. Technology that enables
the internet, technology that people of my
generation find life changing, technology
that my 5 year old son will take for
granted.
Below I describe just some of the
multitude of devices that Optoelectronics
encompasses:
Solid State Lasers (SSLs)
SSLs allow information in the form of an
electronic signal to be translated into light. The
devices are in various forms; VCSELS, bars etc and
these require plasma etching and passivation to create them.
III-V materials such as GaAs, AlGaAs, InP are used as the light
creation semiconductor and it is etching of these materials
smoothly and efficiently that makes the best devices.
Waveguides
Waveguides act as junction boxes, beam splitters and other
essential components in the movement and manipulation of
light. They require very specific SiO2 base layers to be deposited
and shaped to allow this manipulation with minimal loss of
signal strength. Plasma deposition is used to create material
which has incredible control on the film properties
often through film doping and plasma etch forms the
required shapes.
Light Emitting Diodes (LEDs)
Through modern LEDs we can generate light
much more efficiently than previous standard
technology. LEDs are made from GaN (Blue
light) or AlGaInP (Red light) both these materials
need to be dry etched as part of the manufacturing
process. Also prior to GaN growth the sapphire substrates used
need to be patterned (Patterned Sapphire Substrates or PSS) these
days in sharp, pyramid like features. Then the devices need to
have a protective layer, or passivation, which is created by PECVD.
Oxford Instruments has supplied major LED manufacturers for
many years with the etch and deposition equipment essential to
making LEDs.
Photovoltaics (PVs)
While LEDs make light, PV devices capture and convert it into
electricity - harvesting the sun's energy. PV devices are commonly
made from Si and plasma processing allows surfaces optimised
and electrical contacts to be positioned.
Oxford Instruments has extensive experience in controlling substrate temperature, with different wafer tables capable of operating
between -150oC and 800oC or above. We have recently extended the heating capability of the 400 sputter module from a previous
maximum of 300oC, to a new high of 900oC. This has been implemented as a high temperature radiant heating station, taking the
place of one magnetron position. It uses the same core heater as the proven Nanofab 800 design, which is a graphite/ boron nitride
assembly (Figure 1).
The heater option extends the process capabilities of the tool,
satisfying the requirements of applications such as amorphous
film annealing to change crystallinity of the film and annealing
ultra-thin films to form nanoparticles. In the PlasmaPro
System400 module, annealing can be done under vacuum or
a low pressure gas environment. The work was partially funded
by EC FP7 nanoPV project, in which the annealing function is
required to be integrated with other hardware to make overall
process flow continuous for the development of new generation
nanostructure-based solar cells.
The design was
validated using
a multi-physics
package to check
the temperature
rise in heat shields,
as well as the wafer
temperature.
Figure 4 shows the
heating up and
cooling down of Figure 1 Core heating element
the heater module
installed in the PlasmaPro System400 sputtering tool, using
a K-type thermocouple on the surface of a graphite susceptor.
The graphite susceptor reached 900°C in less than 30 minutes
for an input power of 1.1 kW. The way that the heater module
was installed means that the wafer sample, which was placed
on top of graphite susceptor, may have higher temperature than
the surface temperature of graphite susceptor. It is expected
that wafer temperatures close to 1000°C will be achievable.
One of the areas of
greatest interest is
for the production
of nanoparticle
layers of aluminium
and gold by insitu annealing of
nanometer thick
films deposited in
the PlasmaPro
System400. Other
complex oxides and Figure 3 Thermal simulation of radiant
oxy-nitrides will form heater assembly
part of our future
activity.
Acknowledgement
This work was partly funded by the European commission
7th framework program under grant agreement no. 246331
(NanoPV).
Figure 4 (a) Heating up curve from room temperature up to 900oC,
(b) Cooling down curve from 900oC to 100oC
Heater module
Sample
Susceptor
So Optoelectronics is: controlling/distributing information; creating
illumination and generating energy all at the speed of light!
Oxford Instruments Plasma Technology continues to enable
people to harness The Light Fantastic.
Figure 2 The schematic graph to show the heater module in PlasmaPro System400 sputtering tool
10 PROCESSNEWS
PROCESSNEWS 11
Low Cost Polysilicon Nanoribbon Biosensor by
Thin Film Technology
Dr Kai Sun, Dr Ioannis Zeimpekis and Prof Peter Ashburn, Southampton Nanofabrication
Centre, University of Southampton
The ultimate aim of the project is to develop a low-cost Si biosensor technology
using Thin Film Transistor technology (TFT) for healthcare applications. The
application requires a very low cost disposable device. Si nanowires have been
widely researched for biochemical sensors as they offer the advantage of high
surface-to-volume ratio. Currently, nanowires are fabricated by bottom-up
methods using self-assembly or top-down techniques employing electron beam/
deep UV lithography on SOI substrates.
However, the bottom-up approaches are unsuitable for mass
production whilst the CMOS-compatible top-down approaches
require high cost advanced lithography and expensive SOI
wafers. In our work, we develop polysilicon nanoribbons using
TFT techniques as an alternative to nanowires, which can
take benefit of large panel production. Oxford Instruments
Plasma Technology systems play a key role in the nanoribbon
fabrication.
Our top-down nanoribbon fabrication flow using only a
three-mask process is schematically shown in Fig. 1. Plasmalab
System 100 PECVD was used to deposit 45 nm in-situ doped
n-type amorphous silicon on an insulator at 200ºC. We have
successfully deposited Si films down to 25 nm in thickness. This
in-situ doping could eliminate the expensive ion implantation
process and effectively reduce the fabrication cost. After a
lithography, the Oxford Instruments System100 Cobra was
used to anisotropically etch the Si film to form nanoribbons.
The Si film was recrystallized and consequently TiN contacts
and an SU8 passivation layer were formed. A fabricated device
is shown in Fig. 1(d).
Figure 1 Schematic illustration of the Nanoribbon biosensor fabrication for (a) poly-Si formation and patterning, (b) TiN sputtering and
lift-off and (c) SU-8 passivation and sensing window formation. (d) Micrograph of a fabricated Nanoribbon biosensor, with an inset of an
SEM micrograph of the nanoribbon.
12 PROCESSNEWS
0614
Figure 2 Sheet resistance as a function of PH3 flow for in-situ doped
polysilicon layers deposited as amorphous silicon at 200ºC and then
annealed at 900ºC for 10 minutes in dry O2 to crystallize to polysilicon
and activate the phosphorus dopant.
Figure 4 Titration curve obtained using the low doped nanoribbon
biosensor for the reaction of CRP in 0.1 mM buffer (red curve).
Detection of CRP using an ELISA in 0.1 mM phosphate buffer at pH7
is also shown for comparison (blue curve).
Fig. 2 shows the sheet resistance after a 900°C oxidation as
a function of PH3 flow. A sheet resistance of 140 kΩ/sq is
obtained for a PH3 flow of 4 sccm, which then drops to 1.8
kΩ/sq for a PH3 flow of 40 sccm. The Hall Effect measurement
shows that the average concentrations for a PH3 flow of 4 and
20 sccm are around 4×1017 cm-3 and 3×1019 cm-3, respectively.
Device performance is investigated using pH sensing and shown
in Fig. 3, where normalized conductance change is plotted
against the pH value of the applied buffers. A conductance
change of just below 6% is obtained at a pH of 3 for the low
doped biosensor and around 4% for the high doped biosensor.
This shows that both highly and lowly doped devices work well
but the low doped ones give a higher sensitivity.
Figure 3 Graph of normalized biosensor conductance change as
a function of pH for two junctionless nanoribbon biosensors with a
low doping concentration (PH3 flow of 4 sccm) and two with a high
concentration (PH3 flow of 20 sccm). The channel length was 74 µm
and the width was 6.4 µm. No n+ source/drain pads were used on
the biosensors.
To demonstrate the operation as a biosensor, low doped
nanoribbon biosensors were functionalized with anti-CRP
antibody to create a biosensor for CRP sensing. Fig. 4 (red curve)
shows the percentage electric signal change (conductance
change ∆G/G0%) versus CRP concentration. The percentage
conduction change is indicated in the graph as a percentage
coverage change (coverage %) so that the affinity titration
curve can be compared with the standard enzyme linked
immunosorbent assay (ELISA) for CRP (blue curve). In this case
the percentage coverage corresponds to the optical absorbance
signal change of the ELISA. The agreement between the
biosensor and ELISA results is reasonably good, indicating that
the change in conductance seen in the nanoribbon biosensor is
consistent with protein binding.
In summary, we have presented a nanoribbon biosensor
technology based on TFT technology for application in Point
of Care diagnostics. The polysilicon was in-situ doped to avoid
the requirement for ion implantation and SOI substrates.
This technology is simple, low-cost and suitable for the mass
manufacture of disposable biosensors.
PROCESSNEWS 13
Aluminium Oxide by Pulsed-flow ICPCVD for
Passivation of Silicon Solar Cells
Looking towards the future of MEMS and NEMS
created lively discussions at IEMN, Lille
Dr Christopher Pugh, Oxford Instruments
'Nanoscale Processing for NEMS and MEMS'
The photovoltaic industry is constantly striving to improve the efficiency of solar
cell devices, with significant levels of research involved in the field. One of the
key pathways to increasing cell efficiency is to reduce recombinative losses.
Surface passivation can reduce
recombination within the cell structure,
leading to improved minority carriers
lifetimes. This in turn improves the
efficiency of the overall cell, leading to
more electricity being generated. A lot
of interest in the photovoltaic industry
and research is going into aluminium
oxide films, which have been shown
to deliver excellent surface passivation
of silicon using atomic layer deposition
(ALD) processes1. These films lead to low
interface defect densities in conjunction
with a strong field effect passivation
by negative charges near the interface
that reduce the electron density. The
recombination rate of charge carriers at
the silicon surface is reduced as a result.
Through work carried out at Eindhoven
University of Technology2 (TUe), Oxford
Instruments equipment has been used
to demonstrate that equally impressive
surface passivation can be achieved using
inductively coupled plasma chemical
vapour deposition (ICP-CVD). A novel
approach to the technique involves
ultrashort pulsed injection of tri-methyl
aluminium (TMA) into a continuous
oxygen plasma.
The deposition process is governed by
Figure 1 Schematic representation of the
growth mechanism during pulsed-flow
ICPCVD
14 PROCESSNEWS
an initial growth period in which the
TMA is depleted within the chamber;
this is followed by an oxidation and
densification of the film (Figure 1). After a
specified interval the next pulse of TMA is
administered. Through varying the pulse
length of the TMA it is possible to control
film properties such as the deposition
rate and refractive index (Figure 2 ). The
novel technique enjoys the benefits of
the film quality associated with atomic
layer deposition, whilst obtaining the rates
achievable with ICPCVD.
Furthermore through adjustment of the
pulse interval a degree of control of the
minority carrier lifetimes of the samples
has been demonstrated, with increased
pulse interval leading to increased
effective minority carrier lifetime (Figure
3).
The group at TUe has deposited
aluminium oxide onto 3.5Ω-cm n-type c-Si
wafers. After annealing at 400°C for 10
minutes, ultra low surface recombination
velocity values of <1cm/s have been
observed and excellent minority carrier
lifetime values of 8.8ms have been
obtained, which are similar to results
achieved by ALD. These results show that
a wide range processes can be used to
achieve surface passivation depending on
required processing properties and speed.
Professor Erwin Kessels of the Eindhoven
University of Technology summarized and
said:
“It is possible to deposit high quality Al2O3
thin films with OIPT equipment, either
by thermal ALD, plasma ALD and even
by ICPCVD, in all cases yielding excellent
An interactive, one day technical
seminar focused on practical
applications, techniques and advances
in ‘Nanoscale Processing for NEMS and
MEMS’ at Oxford Instruments’ technical
workshop, hosted in conjunction with
the Institute of Electronics Microelectronics and Nanotechnology (IEMN)
in Lille, France.
Attracting participants from key
establishments in Germany, Netherlands
and France, this one day event included
talks from guest speakers and Oxford
Instruments process specialists, keeping
participants abreast of the latest
technologies and trends in these hot
industry research topics including:
Figure 2 (a) Deposition rate R, (b) growth
rate per pulse, GPP and refractive index, n,
as a function of the pulse interval Δt during
PECVD (tTMA =10ms).
surface passivation of silicon wafers.”
[1] G. Dingemans, R. Seguin, P. Engelhar,
M.C.M van de Sanden, W. M.M. Kessels,
Phys Status Solidi RRL 4, 10 (2010)
[2] Dingemans, M. C. M. van de Sanden,
W. M. M. Kessels, Plasma Process. Polym.
9, 761-771, (2012)
•‘Looking towards the next
generation of MEMS devices’
Dr.Eric Mounier Senior Analyst,
MEMS Devices & Technologies, Yole
Développement
•‘Transformational electronics – a
powerful futuristic paradigm on and
for Oxford Instruments’ Galo Torres
Sevilla, Integrated Nanotechnology
Lab, King Abdullah University of
Science and Technology, Saudi
Arabia
0614
•‘Etch and Deposition Plasma
Processes for MEMS and TSV’, ‘ALD
for MEMS’,’Nanoscale Etch’
understandable. Presentations were very
accessible for people interested in the
technologies presented.’
•‘MEMS & NEMS Micro-technological
processes for sensors, energy
harvesting and energy management’
Professor Laurent Montes, Associate
Prof, Grenoble INP
‘Other suppliers do not
care too much about
what happens after sales, I
appreciate the effort made
by Oxford Instruments and
that is the kind of thing
people remember when
making the next purchase.’
•‘A microwave induced remote
afterglow reactor for the deposition
of Organosilicon plasma polymers’
Garrett Curley, IEMN
•‘MEMS research applications and
results’ Steve Arscot, IEMN
Many positive comments resulted
from the event:
‘It was a very interesting
state of the art event about
plasma processes, and the
aims for the future have
been well presented’
‘Globally, the content was of high
quality.’
‘Even if I am not a specialist of plasma
technologies, almost everything was
Francois Neuilly, Micro and Nano
Fabrication Fab Manager at IEMN
commented, “This event attracted a
high calibre audience to IEMN and we
were very pleased to host at our facility.
It offered a good opportunity for the
wider European plasma processing
community to meet and share their
experiences and vision for the future
of this exciting area. It was great
to learn from leading international
experts in their field, both through
the presentations and the networking
opportunity the event created.”
Figure 3 Injection-level-dependent effective
lifetime for Al2O3 films deposited using
various pulse intervals Δt.
PROCESSNEWS 15
Oxford Instruments Plasma Technology
focuses for the future
New VP appointed to head Oxford
Instruments Plasma Technology USA
We recently announced the
appointment of Andrew
McQuarrie to the role of VP of
Sales & Service in the USA.
Andy has more than 28 years experience in
semiconductor and related high technology
businesses, including Surface Technology
Systems, Lam Research and Applied
Materials, as well as previous employment
with Oxford Plasma Technology.
He has a successful track record throughout
this period of establishing, developing and
leading businesses,
with an in-depth
understanding of the
technologies employed.
Andy’s key objectives
are to further develop
our North and South
American business, in
industrial and research markets, leading the
sales and service teams and establishing
relationships with significant current and
potential customers.
On-site training, and off-site courses for
2014. We can come to you!
We offer a programme of System User Maintenance and Process Courses to help train
customers’ applications laboratory staff as part of our commitment to customer support at
Oxford Instruments. These courses can take place at your premises, on your own Oxford
Instruments system.
Oxford Instruments
Plasma Technology
For more information please email:
[email protected]
UK
Yatton
Tel: +44 (0) 1934 837000
Germany
Wiesbaden
Tel: +49 (0) 6122 937 161
India
Mumbai
Tel: +91 22 4253 5100
Japan
Tokyo
Tel: +81 3 5245 3261
PR China
Shanghai
Tel: +86 21 6132 9688
Beijing
Tel: +86 10 6518 8160/1/2
Singapore
Tel: +65 6337 6848
Taiwan
Tel: +886 3 5788696
US, Canada & Latin America
Concord, MA
TOLLFREE: +1 800 447 4717
• We can provide on site training for up to 6 people at one time, please
contact us to book
• On-site course content will be
specially tailored to meet with your
engineers’ needs and expectations
• Standard Maintenance Training
courses are available at our facilities
in Yatton, UK, please download our
course dates flyer from our website
for more information
www.oxford-instruments.com/plasma
for more information or scan the code...
This publication is the copyright of Oxford Instruments plc and provides outline information only, which (unless agreed by the company in
writing) may not be used, applied or reproduced for any purpose or form part of any order or contract or regarded as the representation
relating to the products or services concerned. Oxford Instruments’ policy is one of continued improvement. The company reserves the
right to alter, without notice the specification, design or conditions of supply of any product or service. Oxford Instruments acknowledges
all trademarks and registrations. © Oxford Instruments plc, 2014. All rights reserved. Ref: OIPT/ProcessNews/2014/01
16 PROCESSNEWS
www.oxford-instruments.com