Silicon-Germanium (SiGe) Composition and Thickness

Silicon-Germanium (SiGe) Composition and
Thickness Determination via Simultaneous Smallspot XPS and XRF Measurements
Benoit L’herron, Nicolas Loubet, Qing Liu
STMicroelectronics, Albany, NY, USA
[email protected]
Saiqa Farhat, Jennifer Fullam, John Gaudiello,
Srinivasan Rangarajan, Bing Sun
IBM, East Fishkill, NY, USA
Abstract—The thickness and composition determination of
Silicon-Germanium (SiGe) films have been demonstrated using
simultaneous X-ray Photoelectron (XPS) and X-ray Fluorescence
(XRF) measurements. Measurements of SiGe films in various
applications were explored. It is shown that the measurement is
sensitive and linear over a much wider range of SiGe thickness,
with excellent precision. Long term stability of the measurement
is also shown to be very good.
Keywords—XPS; XRF; SiGe
I.
INTRODUCTION
Over the past decade, Silicon-Germanium (SiGe)
technology has played an increasingly role in pFET
performance boost and Vt tunability. Notably, SiGe is
embedded (e-SiGe) in the source-drain region produces
uniaxial strain in PMOS channels [1]. More recently, SiGe is
being considered as a replacement for the Si channel to achieve
higher hole mobility [2]. Hence, thickness and composition of
SiGe, especially channel SiGe (c-SiGe) has become one of the
critical control parameters for device performance. Capability
of in-line metrology directly on product wafers is highly
desirable in high-volume manufacturing.
Existing optical metrology does not directly measure
composition and require reference metrology to validate model
which usually work only over a tight range of concentration
and thickness. X-ray diffraction (XRD) is another commonly
used technique for SiGe film measurement. However, XRD
suffers from relaxation induced uncertainties, poor precision on
<100Å films and slow measurement speed. These drawbacks
present challenges for current XRD solutions, especially in a
high volume manufacturing process control on product context.
In this paper, the measurements of both composition and
thickness of thin SiGe film on various substrates such as bulkSi, silicon-on-insulator (SOI), and ultra-thin SOI, are
demonstrated with simultaneous XPS and XRF measurement.
X-ray photoelectron spectroscopy (XPS) has recently
proven to be an effective metrology for ultrathin films
Wei Ti Lee, Mark Klare, Heath Pois, Mike Kwan,
Ying Wang, Tom Larson
ReVera Corporation, Santa Clara, CA, USA
[email protected]
Romain Wacquez, Sylvian Maitrejean
CEA-LETI, Albany, NY, USA
(<100Å), with good sensitivity to both composition and
thickness. In particular, it is the metrology of choice for various
high-k metal gate (HKMG) films in 32nm, 28nm and 20nm
device manufacturing as well as 16/14nm FinFET process
development. However, for the case of thin c-SiGe films on Si
(or Si-containing) substrates, XPS cannot differentiate between
the Si signal from the SiGe film and the signal from the Si
substrate. With only 1 independent signal which is the Ge XPS
signal, XPS alone cannot independently determine both
variables, which are the composition and thickness. This
problem can be resolved by taking advantage of the GeLα xray fluorescence (XRF) signal that is also being generated at
the same time during the XPS measurement. The XRF signal
provides an additional independent signal that, when combined
with the XPS signals, allows for the measurement of both
thickness and composition.
II.
EQUIPMENT AND ANALYSIS
A. XPS+XRF combination tool
All of the measurements were carried out on a newly
developed in-line XPS+XRF tool, which is capable of
measuring 300mm wafers within a 50μm metrology box. A
schematic of the integrated instrument is shown in Figure 1.
The tool is maintained under a base pressure of <1.0E-7
Torr. Using a LiB6 electron gun at a nominal beam current of
600μA, x-ray is generated from an aluminum anode at
1486.7eV. The AlKα x-ray is then being focused on to the
wafer by a high quality quartz crystal monochromator.
Magnetic lens under the wafer generates magnetic field near
the wafer surface and focuses the photoelectrons generated into
a spectrometer. The spectrometer electron optics directs and
shapes the photoelectron beam for best transmission into a
Spherical Capacitor Analyzer (SCA). The SCA operates at
fixed voltage difference between the spheres, and pass energy
of 141.2eV is typically being used.
XPS Energy analyzer
Monochromator
E-gun
XPS
detector
XPS input optics
Post-mono
flux detector
intensity. Equations (1) through (3) describe the intensity
mixing model, where K are corresponding material constants,
and A is the attenuation terms through the SiGe film that
depend on the thickness (t) and the effective attenuation
lengths (λ). Optimal values of both the SiGe thickness (t) and
the Ge mixing fraction (f) that led to best agreement between
the model and data were then simultaneously determined via a
non-linear regression analysis.
SDD electronics
Anode
XRF detector assy
SDD detector
Primary x-ray beam
(monochromatic AlKa)
Wafer
X-rays and Photoelectrons
from analysis point
Spectrometer
magnetic lens
cGe film
f = fraction of cGe
cSi film
+
1-f = fraction of cSi
Si substrate
Fig. 1. Schematic of XPS+XRF combination tool.
Fig. 2. cSiGe film stack model.
Simultaneously, the AlKα x-ray will excite low energy xray fluorescence (LE-XRF) from the wafer sample. This LEXRF is detected by using a Silicon Drift Detector (SDD)
located near the analysis point, about 1mm above the wafer
surface. To filter out stray electrons and UV light, an ultrathin
aluminum window is being used at the SDD entrance.
The integrated XPS-XRF tool is also equipped with 3
vision cameras. A wafer-XY camera allows for feature finding
and pattern recognition on the wafer; a wafer-Z camera
determines the wafer z-height for optimal x-ray spot focusing
and positioning; and an anode camera that monitors the anode
for optimal e-beam focus.
Data acquisition is integrated to the system software where
both XPS and XRF signals are collected at the same time, with
total acquisition time at 24s per site.
B. Analysis and Algorithm Development
To ensure consistent measurements, ratios of XPS signals
are used in the data analysis to eliminate variation from the xray source. Typically, Si signal is used as the reference for
most of the XPS data analysis. In case for XRF signal, it is
normalized with respect to the incoming x-ray flux for stable
measurement. This is achieved by monitoring the post
monochromator x-ray flux in real-time during data acquisition.
(1)
(2)
(3)
For a thin SiGe layer which is less than 10nm,
photoelectron from the SOI will contribute to the measured
Si2p XPS intensity. Figure 3 shows the correlation between the
Ge composition and the SiGe thickness for a given XRF and
XPS intensities as described by equation (1) through (3).
Figure 3 also graphically demonstrates how a unique solution
can be found to determine Ge% and SiGe thickness, by using
both the XPS and XRF signals. The red curve represents the
solution for a fixed Ge x-ray fluorescence intensity, while the
blue curve represents the solution for a fixed Ge/Si XPS
intensity ratio. The intersection of the two curves provides a
unique solution that determines the Ge% and SiGe thickness.
New film-stack algorithms were also employed to most
accurately and robustly determine the SiGe thickness and Ge
composition (%) over significant ranges using both the XPS
(Si, Ge3d) and XRF (GeLα) measured intensities. In order to
properly represent the real compositional variation of the Si
and Ge species in the SiGe layer, i) a generic film stack model
that accounts for the generation of Si XPS signal from both the
SiGe film and c-Si substrate (if appropriate) was required,
along with ii) a realistic material layer mixing model that scales
the predicted intensity of the XPS and XRF Ge signals relative
to an otherwise pure Ge film, constraining the remaining
fraction of the film to c-Si.
Figure 2 illustrates the cSiGe film stack model, where f
represents the fraction of Ge in the SiGe film. IGe and ISi are the
intensities measured by XPS, while IGeLα is the measured XRF
Fig. 3. Graphical representation of equations (1) through (3). Intersection
of the two curves provide a unique solution for Ge %AC and SiGe
thickness.
III.
RESULTS AND DISCUSSION
4
Ge
XPS: Ge3d
(a)
2
1
Ge-O
O2s
0
40
35
30
25
20
Binding Energy (eV)
3
Si
XPS: Si2p
5
2.5
(b)
c/s x 10
Figure 4 shows example of the XPS (Ge3d and Si2p
regions) and the XRF (GeLα) spectra acquired simultaneously.
Besides the Ge3d peak, the Ge3d region may exhibit peaks
from Ge-O and O2s. In the Si2p region, it is common that Si-O
peak is observed, where the signal originates from native oxide
at the surface. GeLα XRF signal can be observed at around
1230eV. The extraction of the XPS and XRF intensities was
accomplished using well-established methods of background
subtraction and gaussian/gauss-lorentz peak fitting. For the
case of XRF in particular, pure Gaussian multi-peak fitting
typically led to GOF fit-quality values of 0.97.
5
c/s x 10
3
Si-O
2
1.5
1
A. SiGe on Si (or on thick SOI)
300mm wafer samples of epitaxial SiGe from blanket
wafers and pattern wafers were studied. Both bare-Si(100) and
SOI substrate were used. Since XPS penetration depth being
limited to 10nm, samples on thick SOI and bulk Silicon are
similar from a measurement perspective.
0.5
105
100
95
Binding Energy (eV)
XRF
GeLα
To evaluate the sensitivity of XPS-XRF measurements, a
set of DOE wafers was generated with various SiGe
composition and thicknesses. The DOE consists of 17 wafers
with 6 different SiGe thickness splits over a wide range, and 4
composition splits with less than 5%AC apart. The objective
was to investigate the sensitivity of the XPS-XRF
measurement on SiGe, its applicability over much thicker film
which is greater than 150Å, as well as test the validity of the
film analysis model. Measurements were taken at both 9
random sites and 21 random sites per wafer, but found that the
results were very similar. The normalized measured values are
plotted against the nominal composition and thicknesses in
Figure 5. The plot shows an excellent correlation between the
measured and targeted values, with a slope close to 1.0, and
R2>0.97. This is indicating that the measurements are highly
sensitive to process change and that the composition and
thickness measurements are decoupled. The results also
indicate that the film stack model using both XPS and XRF
signals, represented by Figure 2 and Equations (1) through (3),
represents the SiGe/Si system quite well.
A separate set of samples with various Ge% and SiGe
thickness on blanket wafers were used to evaluate the accuracy
of the XPS-XRF measurement, by comparing them to a HRXRD reference metrology. A wide composition range was used
in this set of wafer, from 25% to 75% of Ge content. Since HRXRD measurements are more reliable with thicker film, the
thickness ranged from about 100Å to 400Å. As shown in
Figure 6, the two measurements correlate very well and it is
highly linear over a wide range of thickness and composition.
From the measurement of these thicker SiGe films, which are
traditionally too thick for XPS measurement, it is shown that
simultaneous XRF-XPS metrology can extend traditional XPS
capabilities. This is of course assuming that the SiGe
composition remains constant over the entire film.
(c)
Intensity
2000
1000
Al-scatter
0
1000
1250
1500
1750
X-ray Energy (eV)
Fig. 4. Example of XPS and XRF spectra collected simultaneously from a
SiGe/Si sample. (a) XPS Ge3d region, (b) XPS Si2p region, (c)
XRF GeLα.
Fig. 5. Plot of normalized measured Ge% AC and SiGe thickness vs. the
targeted values. Good correlation and linearity with a slope near
1.0 indicate high sensitivity to process change.
Fig. 6. Good linearity between XPS mesaured SiGe composition and
thickness to XRD reference.
B. SiGe on UTBB FDSOI
Another variation of SiGe application is in the Ultra-thin
Body and Box (UTBB) FDSOI devices, where the PFET
channel is compressively strained with SiGe. Ge enrichment
has been used to generate SiGe on insulator samples and
achieve thin compressive strained layer [3]. Ge enrichment
starts with a thin oxide layer deposited to stabilize the surface
of the SiGe layer, followed by a standard RTO process to
oxidize the SiGe and push the Ge atoms in the SOI underneath
yielding to 7nm SGOI containing a range of 15-35% Ge [4].
The UTBB SiGe application is used in the precision
evaluation of the combined XPS-XRF metrology tool. Both
static and dynamic precision were evaluated with UTBB
FDSOI product wafers. The measurements were performed on
50μm2 metrology boxes to evaluate factors such as x-ray beam
size consistency and spillage that might affect the precision of
product wafer measurement in high volume production.
Static precision, also known as measurement repeatability,
was collected by measuring the same site for 30 times,
averaging over 2 sites. Dynamic precision, also known as
measurement reproducibility, were evaluated by measuring 5
sites per wafer, repeating the measurement by wafer
loading/unloading for a total of 18 times. In analyzing the
dynamic precision data, the standard deviation of each
measurement site was first determined separately, and then the
RSD of the 5 sites were averaged. The precision is reported in
terms of relative standard deviation (RSD) of the mean value.
Figure 7 summarizes the data, and shows the normalized
measurements of SiGe thickness and Ge % atomic composition
The relative standard deviation (RSD) of measurements are
found to be <1%, indicating a very stable, reproducible and
production worthy metrology tool. The RSD numbers are also
listed in Table I.
Fig. 7. Static and dynamic precision evaluation of SiGe thickness and
Ge %AC from XPS+XRF measurements. Precision RSD<1%.
C. Long-term stability
To further determine the production worthiness of the
combined XPS-XRF metrology tool, its long-term stability was
being evaluated by collecting statistical process control (SPC)
data from the tool over an extended period of time. A control
SiGe wafer was used for this purpose. It was capped with a
13Å HfO2 film to minimize the formation of either SiO2 or
GeO2, which might change the SiGe composition and thickness
over time. The same control wafer was measured about 3-4
times per week with the help of fab automation. Figure 8
shows the normalized wafer average of SiGe thickness and Ge
%AC over a period of 4 months. Also listed in Table I, the
wafer averages are very stable, with SiGe thickness
RSD~0.53%, and Ge %AC RSD~0.33%.
IV.
SUMMARY
With the combination of XPS with XRF measurements,
metrology for SiGe related process technology in a high
volume manufacturing environment can be achieved with ease,
while offering the required sensitivity, precision and stability
for process control.
ACKNOWLEDGMENT
This work was performed by the research and development
alliance teams at various IBM research and development
facilities. The authors would like to thank College of
Nanoscale Science and Engineering for facilitating this work.
Also, support from ReVera’s engineering and service team is
much appreciated.
REFERENCES
[1]
Fig. 8. SPC Chart over 4-month period of normalized Ge% AC and
SiGe thickness. Measurement is very stable, with Thickness
RSD~0.53%, and Ge %AC RSD~0.33%.
TABLE I.
SUMMARY OF MEASUREMENT PRECISION
[2]
[3]
Precision (% RSD of mean value) of XPS-XRF measurements on
UTBB FDSOI product wafers in 50μm2 metrology box
SiGe Thickness
Ge %AC
Static precision
(30x 2-sites)
0.69%
0.63%
Dynamic precision
(18x 5-sites)
0.70%
0.65%
Long term stability
wafer average
(>4 months)
0.53%
0.33%
[4]
T. Ghani, M. Armstrong, C. Auth, M. Bost, P. Charvat, G. Glass, T.
Hoffmann, K. Johnson, C. Kenyon, J. Klaus, B. McIntyre, K. Mistry, A.
Murthy, J. Sandford, M. Silberstein, S. Sivakumar, P. Smith, K.
Zawadzki, S. Thompson, and M. Bohr, “A 90nm High Volume
Manufacturing Logic Technology Featuring Novel 45nm Gate Length
Strained Silicon CMOS Transistors,” IEDM Tech Dig., 2003.
F. Andrieu, T. Ernst, K. Romanjek, O. Weber, C. Renard, J.-M.
Hartmann, A. Toffoli, A.-M. Papon, R. Truche, P. Holliger, L. Brévard,
G. Ghibaudo, and S. Deleonibus, “SiGe Channel p-MOSFETs ScalingDown,” ESSDERC, 2003, pp. 267-270, 2003
K. Cheng, A. Khakifirooz, N. Loubet, S. Luning, T. Nagumo, M. Vinet,
Q. Liu, A. Reznicek, T. Adam, S. Naczas, P. Hashemi, J. Kuss, J. Li, H.
He, L. Edge, J. Gimbert, P. Khare, Y. Zhu, Z. Zhu, A. Madan, N.
Klymko, S. Holmes, T. M. Levin, A. Hubbard, R. Johnson, M. Terrizzi,
S. Teehan, A. Upham, G. Pfeiffer, T. Wu, A. Inada, F. Allibert, B.-Y.
Nguyen, L. Grenouillet, Y. Le Tiec, R. Wacquez, W. Kleemeier, R.
Sampson, R. H. Dennard, T. H. Ning, M. Khare, G. Shahidi, and B.
Doris, “High Performance Extremely Thin SOI (ETSOI) CMOS with
Hybrid Si Channel NFET and Strained SiGe Channel PFET,” IEDM,
2012.
Q. Liu1, M. Vinet, J. Gimbert1, N. Loubet, R. Wacquez, L. Grenouillet,
Y. Le Tiec, A. Khakifirooz, T., Nagumo, K. Cheng, H. Kothari, D.
Chanemougame, F. Chafik, S. Guillaumet, J. Kuss, F. Allibert, G.
Tsutsui, J. Li, P. Morin, S. Mehta, R. Johnson, L.F. Edge, S. Ponoth, T.
Levin, S. Kanakasabapathy, B. Haran, H. Bu, J.-L. Bataillon, O. Weber,
O. Faynot, E. Josse, M. Haond, W. Kleemeier, M. Khare, T. Skotnicki,
S. Luning, B. Doris, M. Celik, R. Sampson, “High Performance UTBB
FDSOI Devices Featuring 20nm Gate Length for 14nm Node and
Beyond,” IEDM, 2013.