Imec kortweg

wereldwijd
netwerk
onderzoeksinstituut
IMEC VISIE
Imec verricht onderzoek dat tot de wereldtop behoort in het domein van nano-elektronica. Imec koppelt zijn innovatieve kracht aan wereldwijde
partnerships in ICT, gezondheidszorg en energie. Zo worden er technologische oplossingen ontwikkeld die relevant zijn voor de industrie. In een
unieke hightech omgeving is imec’s internationaal toptalent gedreven om de bouwblokken te ontwikkelen voor een beter leven in een duurzame
maatschappij.
DE IMEC CAMPUS
Om aan de top te blijven in onderzoek naar nano-elektronica en nanotechnologie beschikt imec over ultramoderne onderzoekslaboratoria:
nanoelektronica
samenwerkingen
}
een 200mm cleanroom (of stofvrije ruimte)
}
een 300mm cleanroom (compatibel met 450mm)
}
een proceslijn voor siliciumzonnecellen
}
ultramoderne laboratoria voor onderzoek naar zonnecellen en draadloze communicatie, biomedisch onderzoek en lange-termijn
hersenonderzoek (in het kader van NERF)
Deze imec campus wordt constant uitgebreid. Om aan de wereldtop te blijven van onderzoek naar de meest geavanceerde halfgeleiderprocessen
en -technologieën, startte imec in mei 2014 de bouw van een nieuwe, 450mm-compatibele cleanroom.
toekomst
IMEC CORPORATE RESPONSIBILITY
De belangstelling die imec heeft voor maatschappelijke verantwoordelijkheid steunt op onze waarden. Zij bepalen hoe wij als onderneming functioneren. Wij streven naar integriteit, passie, verbondenheid en excellentie. Wij vinden het welzijn en de ontwikkeling van onze mensen belangrijk.
Wij waarderen diversiteit op de werkvloer en geloven in wereldwijde solidariteit. Wij ontzien onze planeet door milieuvriendelijk te werken. Wij
ontwikkelen op nanoschaal oplossingen voor ICT, gezondheidszorg en energie die het leven van mensen verbeteren in een duurzame samenleving.
meer dan
330 miljoen euro
inkomsten
meer dan
48 miljoen euro
subsidie Vlaamse
overheid
LEES HET MAANDELIJKS IMEC MAGAZINE, BESCHIKBAAR
}als app voor tablet en smartphone
}of op de website magazine.imec.be
ultramoderne
infrastructuur
meer dan 70
nationaliteiten
duurzame
oplossingen
meer dan
2.050
werknemers
CONTACTEER ONS
imec België
Kapeldreef 75 – B-3001 Leuven
Belgium
Tel: +32 16 28 12 11
Fax: +32 16 22 94 00
imec.be/contact
imec.be
magazine.imec.be
holstcentre.com
nerf.be
imec-academy.be
youtube.com/imecnanotube
Android
iOS
ASPIRE INVENT ACHIEVE
WWW.IMEC.BE
Imec is een geregistreerd trademark voor de activiteiten van IMEC International (stichting van openbaar nut volgens Belgisch recht), imec België (IMEC vzw gesteund door de Vlaamse
Overheid), imec Nederland (Stichting IMEC Nederland, deel van Holst Centre dat gesteund wordt door de Nederlandse Overheid), imec Taiwan (IMEC Taiwan Co.), imec China (IMEC
Microelectronics (Shanghai) Co. Ltd.) en imec India (Imec India Private Limited).
WWW.IMEC.BE
DRAADLOZE
COMMUNICATIE
Herconfigureerbaar
mm-golf
Ultralaag vermogen
Lithografie
GEZONDHEIDSZORG
Lichaamsnetwerken
Life sciences
CORE CMOS
Transistoren
SENSORSYSTEMEN
Beeldsensoren
Sensoren voor industriële
toepassingen
Interconnecties
ENERGIE
Zonnecellen
Vermogenelektronica
Energie-opslag
HETEROGENE
INTEGRATIE
MEMs, Sensoren,
Fotonica
DUNNE-FILM
ELEKTRONICA
Zo zou een draagbaar toestelletje voor
gezondheidsmetingen er in de toekomst kunnen uitzien
IMEC
Imec verricht onderzoek dat tot de wereldtop
behoort in het domein van nano-elektronica.
Binnen imec worden de bouwblokken ontwikkeld voor een beter leven in een duurzame
maatschappij. In onze onderzoekslabo’s werken wetenschappers en ingenieurs wereldwijd
samen met partners in ICT, gezondheidszorg
en energie. Op die manier ontwikkelen ze
technologische oplossingen die relevant zijn
voor de industrie.
Het onderzoekscentrum telt meer dan 2.050
werknemers, waaronder meer dan 650 industriële residenten en gastonderzoekers.
IMEC WERKT SAMEN
Imec werkt samen met bedrijven, universiteiten en andere onderzoeksinstellingen van over
heel de wereld. Grote internationale halfgeleiderbedrijven, materiaal- en toestelproducenten en systeemhuizen behoren al jaren tot
imec’s partners. Naast ICT-bedrijven sluit imec
ook O&O-samenwerkingsverbanden af met
medische, farmaceutische en energiebedrijven.
Ook Vlaamse bedrijven kunnen rekenen op
imec’s kennis en expertise. Het imecinteract-team stimuleert, initieert en coördineert
samenwerkingen met bedrijven in Vlaanderen.
Via verschillende samenwerkingsformules
hebben bedrijven toegang tot imec’s technologie, kennis, competenties, infrastructuur
en ecosysteem. Zo werkt imec samen met
Vlaamse bedrijven in bijvoorbeeld de elektronica-, textiel-, metaal-, voedings- en gezondheidssector.
IMEC ACADEMY
Imec academy organiseert cursussen en seminaries voor zowel eigen werknemers als voor
bedrijven en kennisinstellingen wereldwijd.
Imec academy biedt trainingsprogramma’s
aan van een hoog technisch niveau, waarbij ze
expertise van wereldklasse combineren met
praktische toepassingen. De trainingsprogramma’s zijn onderverdeeld in vier pijlers: business
and leadership, semiconductor technology, biomedical systems en smart systems.
IMEC INFORMEERT
RVO-Society ontwikkelt educatief materiaal
rond recente ontwikkelingen in techniek en
wetenschappen. Als logische poort tussen
onderwijs en onderzoek richt RVO-Society
zich naar scholen en naar bedrijven. Aan leerkrachten geven zij navormingen om met hun
pakketten aan de slag te gaan in eigen klas.
Voor bedrijven zijn zij een investering in maatschappelijk verantwoord ondernemen.
IMEC ICLINK
Imec IClink linkt innovators en ondernemers aan de leiders in de chiptechnologie.
Imec IClink is een betrouwbare partner, een
aanspreekpunt om ideeën om te zetten in
marktklare producten. Het biedt een volledig
dienstenpakket aan dat elke stap dekt in de
waardeketen van chipproductie. Imec IClink
heeft gespecialiseerde teams voor ASIC- (of
toepassingsspecifieke chips) dienstverlening,
ontwerpdiensten en PCB/PBA-advies. Waar
nodig geven ze ook toegang tot de diensten
van hun partners en van imec.
Als onderdeel van het aanbod heeft IClink een
aantal diensten die uniek zijn in de industrie:
het ontwerp van chips bestand tegen straling,
een technology targeting service om de meest
geschikte technologie te selecteren voor een
ontwerp, en advies voor verpakking van componenten, printed circuit boards, en technologie
en betrouwbaarheid van printed board assemblies.
Daarnaast biedt IMOMEC, imec’s geassocieerde lab aan de Universiteit van Hasselt, aan
bedrijven en overheidsinstellingen een dienst
aan om de betrouwbaarheid van materialen
te testen.
IMEC’S ONDERZOEKSSTRUCTUUR
Imec beschikt over drie grote technologie
onderzoeksplatformen: core CMOS of chipprocestechnologie, heterogene integratie en
dunne-film elektronica. Deze technologieplatformen creëren nieuwe mogelijkheden
over de grenzen van traditionele halfgeleidertechnologie heen. Daarom hebben we
een aantal toepassingsgedreven programma’s opgezet in de domeinen van draadloze
communicatie, gezondheidszorg, sensorsystemen en energieoplossingen. Deze programma’s bouwen verder op onze kennis
van nano-elektronica, onze ultramoderne
onderzoeksinfrastructuur en ons uitgebreid
partnernetwerk.
Matrix van organische fotodetectoren
neergezet op een flexibel substraat
TOEPASSINGSGEDREVEN ONDERZOEKSPROGRAMMA’S
CHIPPROCESTECHNOLOGIE
GEZONDHEIDSZORG
ENERGIE
Imec en Holst Centre ontwikkelen technologieën voor draagbare en implanteerbare lichaamsnetwerken. Ultralaagvermogen componenten,
radio’s en sensoren met medische nauwkeurigheid zijn hiervan belangrijke bouwblokken. Deze
lichaamsnetwerken kunnen helpen om ziektes te
voorkomen en om mensen ertoe aan te zetten
om gezonder te leven.Voorbeelden hiervan zijn
draagbare sensoren die onze fysieke activiteit
meten, en hartmonitoringsystemen.
In de toekomst zullen particulieren niet alleen
elektriciteit verbruiken, maar ook produceren.
Om dit mogelijk te maken, zal ons elektriciteitsnet moeten hertekend worden tot een
bidirectioneel netwerk met extra componenten en functies. Imec werkt hier aan mee. We
zoeken naar nieuwe materialen, processtappen
en celstructuren om de efficiëntie van silicium
zonnecellen te verbeteren en hun productie
goedkoper te maken. Daarnaast trachten we
ook dunne-film zonnecellen te verbeteren in
het kader van Solliance.
De chips van de toekomst zullen veel sneller
kunnen rekenen en veel meer data kunnen opslaan dan de huidige. Daarbij zullen ze ook nog
eens veel minder energie verbruiken. Dat hebben we te danken aan de chipindustrie die blijft
inzetten op de schaalverkleining van chips. Imec
speelt daarin een belangrijke rol. Het is onze
ambitie om de technologieroadmap voor onze
partners mogelijk te maken. Samen met onze
partners verkennen we nieuwe procestechnologieën, materialen, transistortypes, en integratie- en ontwerpmethodes. Naast het schalen
van transistoren en circuits bekijken we ook de
schaalverkleining van systemen, bv. door middel
van 3D-systeemintegratie.
In ons programma rond procestechnologie
ontwikkelen we nieuwe technologieën en processen voor de verdere schaalverkleining van
chips, zowel reken- als geheugenchips. We kijken
ook 10 jaar vooruit en verkennen de mogelijkheden om transistoren te maken met afmetingen kleiner dan 5nm.
In ons programma rond optische input/output
creëren we oplossingen voor breedbandcommunicatie tussen de componenten van een systeem-op-chip.
HETEROGENE INTEGRATIE
Imec breidt zijn standaard chipprocessen (CMOS)
uit met andere processtappen om nieuwe microen nanosystemen te maken. Naast logische en
geheugenfuncties voegen we andere functies toe
Organische zonnecellen gemaakt met inkjetprinting
79GHz radarzender in 28nm CMOS-technologie
TECHNOLOGIEPLATFORMEN
Met ons INSITE-programma bieden we bedrijven meer inzicht in de nieuwste technologieën.
Daarmee kunnen ze vroeger starten met het
ontwerp van geavanceerde producten waardoor ze die ook sneller op de markt kunnen
brengen.
Lensvrije microscoop
Een blik in imec’s 300mm cleanroom
aan onze chips, zoals mechanische, chemische en
optische. Mogelijke toepassingen zijn MEMS (of
micro-elektromechanische systemen, zoals microspiegels en druksensoren), speciale beeldsensoren (zoals EUV-sensoren) en fotonicachips (bijv.
biosensoren en germaniumdetectoren).
Samenwerken met imec op het gebied van
heterogene integratie kan op verschillende
manieren, gaande van ontwikkeling op vraag,
tot prototypes en lage-volumeproductie. Deze
dienstverlening maakt gebruik van de expertise
die imec heeft in heel wat onderzoeksgebieden,
zoals onze expertise op het gebied van betrouwbaarheid.
DUNNE-FILM ELEKTRONICA
Imec en zijn onderzoeksentiteit in Holst Centre
ontwikkelen de technologie en de bouwblokken
voor plooibare of dunne-film elektronica en
beeldschermen. Deze technologie ligt aan de
basis van een hele reeks toepassingen: een plooibare tablet, een oprolbaar beeldscherm, labels
op voedingsverpakkingen, enz. Vandaag is er al
heel wat technologie voorhanden om dit mogelijk te maken. Alleen zijn de prestaties van de
elektronica nog niet goed genoeg om de consument echt te overtuigen. Het verbeteren van
deze prestaties is het doel van ons onderzoek.
We volgen hierbij een verticale aanpak. Eerst
evalueren en selecteren we de materialen, dan
ontwikkelen we de processen om hiermee
elektronische circuits te bouwen, en uiteindelijk
ontwikkelen we prototypes voor welbepaalde
toepassingen. Eén van onze belangrijkste doelstellingen is om de werking van de transistoren
die we met deze materialen maken, te verbeteren. Daarnaast bekijken we ook hoe we deze
transistoren kunnen integreren in complexe circuits en beeldschermen.
Binnen hun life sciences-onderzoek benutten
imec en zijn partners de mogelijkheden van nano-elektronica om heel krachtige instrumentatie
te ontwikkelen tegen een zo laag mogelijke kostprijs. Zo ontwikkelen we siliciumchips die enkelvoudige DNA-moleculen parallel kunnen uitlezen. Met deze chips wordt DNA-sequencing een
routinetest. We ontwikkelen ook krachtige chips
die miljoenen cellen per seconde op microscopisch niveau kunnen ‘zien’. Zij gaan op zoek naar
zeldzame cellen zoals circulerende tumorcellen.
De modules die we ontwikkelen voor high-end
instrumentatiechips zullen we ook kunnen inzetten voor het maken van goedkopere chips. Met
deze chips moet diagnostiek voor iedereen beschikbaar worden. Het enige wat je verder nog
nodig hebt, is een smartphone, tablet of tv. Met
onze technologie kan je verschillende types van
biomarkers meten, en dat maakt de technologie
uniek. Ook laat hij toe om standaard halfgeleiderinfrastructuur te gebruiken voor de fabricage
van de chips.
Nog in de gebouwen van imec ontrafelt het
NERF (of Neuro-Electronics Research Flanders,
een onderzoeksinitiatief van imec, VIB en KU
Leuven) de werking van het menselijk brein
door nano-elektroncia en neurobiologie te combineren.
Het slim elektriciteitsnet zal gebruik maken van
kleine vermogenelektronische componenten
voor het schakelen, sturen of omvormen van
vermogens. Om deze componenten te maken,
ontwikkelt imec een kost- en vermogenefficiënte technologie: galliumnitride-op-silicium.
En we kijken naar energie-opslag. In het domein
van nieuwe-generatie batterijen doen we onderzoek naar dunne-film vaste-stof lithium-ionbatterijen. Deze zijn niet alleen veiliger dan de
huidige lithium-ionbatterijen, ze hebben ook
een hogere vermogen- en energiedichtheid.
DRAADLOZE COMMUNICATIE
Imec ontwikkelt herconfigureerbare radio’s die
meerdere frequentiebanden en communicatiestandaarden ondersteunen. Met deze flexibele
radio’s zullen we overal en altijd kunnen communiceren, en dit in de beste omstandigheden.
Imec en zijn partners ontwikkelen deze radio’s.
Om laagvermogen en hoog-performante circuits te maken, gebruiken we de meest recente
chiptechnologieën (CMOS).
We ontwikkelen ook 60GHz en 79GHz millimetergolf radio’s. Met 60GHz radio’s kunnen
toestellen onderling communiceren over korte
afstanden, zoals een laptop en een printer. In
imec ontwikkelen we deze radiochips in standaard chiptechnologie (CMOS), en werken we
aan kost-effectieve oplossingen voor de antenne. 79GHz radio’s zijn dan weer geschikt voor
radartoepassingen, in het bijzonder voor voertuigen. Imec tracht deze radiomodules compact, goedkoop en energie-efficiënt te maken.
Opnieuw wordt hiervoor CMOS ingezet.
Samen met Holst Centre ontwikkelt imec
ultralaagvermogen radiochips die een veel lager
vermogenverbruik hebben dan commerciële
radiochips. Deze kunnen gebruikt worden in
draadloze sensornetwerken.
SENSORSYSTEMEN
De komende jaren zullen we meer en meer
slimme systemen zien opduiken. Machines, gebouwen, voertuigen en huishoudtoestellen
zullen uitgerust worden met meer intelligentie.
Deze slimme systemen zullen helpen om de
duurzaamheid, complexiteit en veiligheid van
onze wereld te bewaken. De slimme systemen
zullen heel klein en goedkoop zijn, en heel weinig
vermogen verbruiken. In deze systemen zullen
sensoren, actuatoren, reken- en communicatiecircuits gecombineerd worden tot één draadloos systeem.
Imec ontwerpt ook beeldsensoren waarvan de
eigenschappen die van commerciële beeldsensoren overstijgen. Onze oplossingen vind je terug in bv. ruimtetoepassingen, of in bewakings- of
inspectietoestellen. Ze zijn ontworpen om te
werken aan bv. zeer hoge snelheden of met een
zeer laag ruisniveau. Enkele van de technologieën
waar we aan werken zijn: ingebedde CCD-camera’s, backside illuminated beeldsensoren, hyperspectrale filters, lensvrije microscopen en
organische beeldsensoren.