プログラム

Technical Program
NGL WS
2015
Oral Presentations 1
Monday 6 July ― Kuramae Hall
Openning
10:00
次世代リソグラフィ技術研究会委員長挨拶
Openning Remarks by the Chairman of the NGL Research Committee
石原 直(東大)
Sunao Ishihara (UTokyo)
Plenary Presentations
Session Chair: Sunao Ishihara (UTokyo)
10:05
シリコンフォトニクスとSi-CMOSプロセス
Silicon Photonics and Si-CMOS Process
最上 徹(PETRA)
Toru Mogami (PETRA)
10:45
Industrialization of EUV Lithography: progress update
森崎 健史(ASML-J)
Kenji Morisaki (ASML-J)
― Coffee Break ―
11:25
Optical Lithography & Multiple Patterning Technology
Session Chair: Masato Hiroshima (Toshiba)
11:40
側壁マルチパターニングのレイアウト設計手法
Self-aligned Multiple Patterning-aware Routing
中嶌 史晴、児玉 親亮、中山 幸一、野嶋 茂樹、小谷 敏也(東芝)
Fumiharu Nakajima, Kodama, Koichi Nakayama, Shigeki Nojima, and Toshiya Kotani (Toshiba)
12:05
7nmノードに向けたマルチパターニングの開発状況
Recent Progress in Multi-patterning Towards 7nm Node
八重樫 英民(東京エレクトロン)
Hidetami Yaegashi (TOKYO ELECTRON)
12:30
階層ベイズモデルを用いたOPC
Optical Proximity Correction with Hierarchical Bayes Regression
松縄 哲明1、Bei Yu2、David Z. Pan2(東芝1、テキサス大2)
Tetsuaki Matsunawa1, Bei Yu2, and David Z. Pan2(Toshiba1, Univ. Texas2)
12:55
― Lunch Break ―
Directed Self-Assembly (DSA)
Session Chair: Noriyuki Hirayanagi (Nikon)
14:10
COOL プロセスを用いたDSAによるサブ15nmラインパターニング
Sub-15nm Line Patterning using DSA with Coordinated Line Epitaxy (COOL) Process
笠原 佑介、清野 由里子、佐藤 寛暢、小林 克稔、金井 秀樹、宮城 賢、峯岸 信也、久保田 仁
小寺 克昌、木原 尚子、戸花 敏勝、白石 雅之、野村 聡、川門前 善洋、東 司(EIDEC)
Yusuke Kasahara, Yuriko Seino, Hironobu Sato, Katsutoshi Kobayashi, Hideki Kanai, Ken Miyagi, Shinya Minegishi, Hitoshi Kubota
Katsuyoshi Kodera, Naoko Kihara, Toshikatsu Tobana, Masayuki Shiraishi, Satoshi Nomura, Yoshiaki Kawamonzen, and Tsukasa Azuma (EIDEC)
14:35
半導体自己組織化(DSA)技術の応用状況レビューと将来デバイスへ向けたチャレンジ
Review of DSA Application for Semiconductor Devices and the Challenge Toward Future Devices
永原 誠司(東京エレクトロン)
Seiji Nagahara (TOKYO ELECTRON)
15:00
Sub-10 nm 垂直配向ラメラ構造の形成に向けたブロック共重合体の設計
Block Copolymer Design For Perpendicular Orientated Lamellar Structures with Sub-10 nm Features
早川 晃鏡(東工大)
Teruaki Hayakawa (Tokyo Tech)
― Coffee Break ―
15:25
15:40 - 18:10
Poster Presentations
Poster Session A - Royal Blue Hall
Poster Session B - Kuramae Hall
Oral Presentations 2
Tuesday 7 July ― Kuramae Hall
Extreme Ultraviolet Lithography(EUVL)
Session Chair: Toshiro Itani (EIDEC)
9:30
EUVリソグラフィ研究事始め
Early Stage of EUVL Development
木下 博雄(兵県大)
Hiroo Kinoshita (Univ. Hyogo)
9:55
半導体量産用 高出力LPP-EUV光源の開発の最新状況
Update of High Power LPP-EUV Source Development for High Volume Semiconductor Manufacturing
溝口 計(ギガフォトン)
Hakaru Mizoguchi (GIGAPHOTON)
10:20
ERL-FELをベースにした大強度EUV光源開発の検討
Feasibility Study on High Power EUV Light Source Based on ERL-FEL
河田 洋(高エ研)
Hiroshi Kawata (KEK)
10:45
EUV量産に向けたTrackプロセスの最新状況
The Latest Status of Track Process Toward EUV High-volume Manufacturing
園田 明弘(東京エレクトロン)
Akihiro Sonoda (TOKYO ELECTRON)
11:10
― Coffee Break ―
E-Beam, Metrology & Mask Technology
Session Chairs: Shoji Hotta (Hitachi) and Morihisa Hoga (DNP)
11:25
インライン測定器の測定ロバスト性改善に向けて
Robustness Improvement of Inline Metrology System
阿部 秀昭(東芝)
Hideaki Abe (Toshiba)
11:50
TEMおよびCD-SEM画像によるFinFETの形状測定
Line Profile Measurement of FinFET Features by TEM and CD-SEM Images
高増 潔(東大)
Kiyoshi Takamasu (UTokyo)
12:15
電子線リソグラフィにおけるSub-10nmパターン形成の分子動力学解析
Molecular Dynamics Study of Sub-10 nm Pattern Formation in Electron Beam Lithography
安田 雅昭(大府大)
Masaaki Yasuda (Osaka Pref. Univ.)
12:40
Progress of Electron Multi-Beam Technology for Mask Writing
Hans Loeschner (IMS-NF)
― Lunch Break ―
13:05
Nanoimprint Lithography(NIL)
Session Chair: Hiroshi Hiroshima (AIST)
14:05
サブ20nmの直径を有する炭素被覆モールド空隙への光硬化性組成物の充填挙動
Filling Behaviors of UV-curable Resins into Carbon-coated Mold Cavities with Sub-20nm Diameters
中川勝、中谷顕史、廣芝伸哉(東北大)
Masaru Nakagawa, Akifumi Nakaya, and Nobuya Hiroshiba (Tohoku Univ.)
14:30
半導体製造用ナノインプリントシステム
Nanoimprint Systems for High-volume Semiconductor Manufacturing
酒井 啓太(キヤノン)
Keita Sakai (CANON)
14:55
ナノインプリントを用いたデバイス試作とナノ欠陥技術への挑戦
Device Fabrication using Nanoimprint Lithography and Challenges for Nano Defect Management Technology
東木 達彦(東芝)
Tatsuhiko Higashiki (Toshiba)
15:20
NILテンプレートの量産適用に向けた開発状況
HVM Readiness of Nanoimprint Lithography Templates: Defects, CD, and Overlay
市村 公二、栗原 正彰、林 直也(DNP)
Kouji Ichimura, Masaaki Kurihara, and Naoya Hayashi (DNP)
15:45
16:00 - 17:45
18:00
― Coffee Break ―
Panel Discussion
7nmノード(hp15nm)以降に向けた次世代リソグラフィ技術
Next Generation Lithography Technology for sub-7nm Node/hp 15nm beyond
Moderators
堀田 尚二(日立)、廣島雅人(東芝)、藤井 清(ルネサス)
Shoji Hotta (Hitachi), Masato Hiroshima (Toshiba), and Kiyoshi Fujii (RSMC)
Advisor
岡崎 信次(ギガフォトン)
Shinji Okazaki (GIGAPHOTON)
Poster Sessions A
NGL WS
2015
15:40-18:10, Monday 6 July ― Royal Blue Hall
Optical Lithography & Multiple Patterning Technology
マスク位置ずれに対する耐性を持つLELECUTトリプルパターニングのためのマスク割り当て手法
P1
Mask Assignment with Tolerance for Misalignment in LELECUT Triple Patterning
小平 行秀1、児玉 親亮2、松井 知己3、高橋 篤司3、野嶋 茂樹2、田中 聡2(会津大1、東芝2、東工大3)
1
2
Yukihide Kohira , Chikaaki Kodama , Tomomi Matsui3, Atsushi Takahashi3, Shigeki Nojima2, and Satoshi Tanaka2 (Univ, Aizu1, Toshiba2, Tokyo Tech3)
クラスタリングアルゴリズムを用いた高速照明最適化
P2
Fast Source Optimization by Clustering Algorithm
1
1
2
2
2
2
1
1
2
多和田 雅師 、柳澤 政生 、橋本 隆希 、坂主 圭史 、野嶋 茂樹 、小谷 敏也 、戸川 望 (早大 、東芝 )
1
1
2
2
2
2
1
1
2
Masashi Tawada , Masao Yanagisawa , Takaki Hashimoto , Keishi Sakanushi , Shigeki Nojima , Toshiya Kotani , and Nozomu Togawa (Waseda Univ. , Toshiba )
マルチパターニング露光装置対応のハイパワーArFエキシマレーザの開発
P3
Development of High Power ArF Excimer Laser Supporting the Next Generation Multiple-patterning Lithography
柿崎 弘司(ギガフォトン)
Koji Kakizaki (GIGAPHOTON)
バーチャル・アベレーションによるマスク3D効果とレジスト効果のモデル化
P4
Simplified VA(Virtual Aberration) Model to Simulate Mask 3D and Resist Effects
金谷 玲二1、藤井 光一1、今井 基勝1、松山 知行1、都築 隆夫1、Qun Ying LIN2 (ニコン1、IME2)
1
1
Reiji Kanaya , Koichi Fujii , Motokatsu Imai1, Tomoyuki Matsuyama1, Takao Tsuzuki1, and Qun Ying LIN2 (Nikon1, Institute of Microelectronics2)
7nm node世代に向けた液浸露光技術の取り組み
P5
Recent Progress of Immersion Lithography for 7nm Nodes
疋田 雄二朗、舩津 貴行、河野 博高、柴崎 祐一 (ニコン)
Yujiro Hikida, Takayuki Funatsu, Hirotaka Kono, and Yuichi Shibazaki (Nikon)
高NA結像における空間像強度シミュレーション
P6
Aerial Image Intensity Simulation in High-NA Imaging
1
2
3
1
2
3
渋谷 眞人 、高田 聡 、中島 利治 (東京工芸大 、トプコン 、ニコン )
Masato Shibuya1, Akira Takada2, and Toshiharu Nakashima 3 (Tokyo Polytechnic Univ.1, Topcon2, Nikon3)
Directed Self-Assembly (DSA)
DSAリソグラフィにおけるBCP材料によるCD均一性、位置精度の改善
P7
BCP Approach for CD Uniformity and Placement Error Improvement in DSA Grapho-epitaxy Process
松宮 祐、黒澤 剛志、矢萩 真人、山野 仁詩、宮城 賢、前橋 貴哉、鈴木 一生、川上 晃也、小室 嘉崇、川名 大助、平山 拓、大森 克実(東京応化)
Tasuku Matsumiya, Tsuyoshi Kurosawa, Masahito Yahagi, Hitoshi Yamano, Ken Miyagi, Takaya Maehashi, Issei Suzuki, Akiya Kawaue,
Yoshitaka Komuro, Daisuke Kawana, Taku Hirayama, and Katsumi Ohmori (TOK)
サブ10nmパターニングに向けたブロックコポリマーDSA
P8
Block Co-polymer Directed Self-assembly for Sub-10nm Patterning
小田 智博、西野 晃太、Yoshi Hishiro、堀 雅史、成岡 岳彦、小松 裕之、永井 智樹、島 基之、木村 徹 (JSR)
Tomohiro Oda, Kota Nishino, Yoshi Hishiro, Masafumi Hori, Takehiko Naruoka, Hiroyuki Komatsu, Tomoki Nagai, Motoyuki Shima, and Tooru Kimura (JSR)
P9
ラメラナノ構造中の内部欠陥のシミュレーション解析
Simulation Studies on Embedded Defects in Lamellar Nanostructures
金井 秀樹、小寺 克昌、清野 由里子、佐藤 寛暢、笠原 佑介、小林 克稔、久保田 仁、木原 尚子
川門前 善洋、宮城 賢、峯岸 信也、白石 雅之、戸花 敏勝、野村 聡、東 司(EIDEC)
Hideki Kanai, Katsuyoshi Kodera, Yuriko Seino, Hironobu Sato, Yusuke Kasahara, Katsutoshi Kobayashi, Hitoshi Kubota, Naoko Kihara,
Yoshiaki Kawamonzen, Ken Miyagi, Shinya Minegishi, Masayuki Shiraishi, Toshikatsu Tobana, Satoshi Nomura, and Tsukasa Azuma (EIDEC)
E-Beam, Metrology, and Mask Technology
P10
ビルトインレンズマスクによる3次元フォトリソグラフィ
Novel 3-dimensional Photo Lithography by Built-in Lens Mask Lithography
田中 利樹、川田 博昭、菊田 久雄、笹子 勝、平井 義彦(大府大)
Toshiki Tanaka, Hiroaki Kawata, Hisao Kikuta, Masaru Sasago, and Yoshihiko Hirai (Osaka Pref. Univ.)
P11
SEM画像を用いたリソシミュレーションによるマスク欠陥判定技術の研究
Study of Defect Verification Based on Lithography Simulation with a SEM System
吉川 真吾(DNP)
Shingo Yoshikawa (DNP)
P12
可変成形ビーム描画装置におけるレジストヒーティング効果の補正モデル及び補正方法
Correction and Modeling of Resist Heating Effect on VSB Mask Writer
菅沼 瑞奈(ニューフレア)
Mizuna Suganuma (NuFlare)
P13
マルチビームSEMの現状とその画像データの今後の検査技術等への応用
Status and Outlook of Multi-beam SEM Technology to be Expected Inspection Application
小檜山 勇次、Thomas Kemen, Jan Hemdrik Peters (Carl Zeiss)
Yuji Kobiyama, Thomas Kemen, and Jan Hemdrik Peters (Carl Zeiss)
P14
EUVマスクにおける遮光帯欠陥のウェハ転写性評価
Defectivity Evaluation of EUV Reticles with Etched Multilayer Image Border by Wafer Printing Analysis
渡辺 原太1、福上 典仁1、坂田 陽1、小寺 豊1、Rik Jonckheere2、Emily Gallagher2、Erik Verduijn3(凸版1、imec2、Globalfoundries3)
Genta Watanabe1, Norihito Fukugami1, Yo Sakata1, Yutaka Kodera1, Rik Jonckheere2, Emily Gallagher2, and Erik Verduijn3
(Toppan1, imec2, Globalfoundries3)
11 nm hp世代EUVマスクに対応するPEM技術を用いたマスクパタン検査技術
P15 Patterned Mask Inspection Technology with Projection Electron Microscope (PEM) Technique
for 11 nm Half-pitch Generation EUV Masks
1
1
1
1
2
2
2
2
2
1
2
平野 亮一 、飯田 晋 、天野 剛 、渡辺 秀弘 、畠山 雅規 、村上 武司 、吉川 省二 、末松 健一 、寺尾 健二 (EIDEC 、荏原製作所 )
1
1
1
1
2
2
Ryoichi Hirano , Susumu Iida , Tsuyoshi Amano , Hidehiro Watanabe , Masahiro Hatakeyama , Takeshi Murakami , Shoji Yoshikawa2,
Kenichi Suematsu2, and Kenji Terao2 (Toshiba1, EBARA2)
Poster Sessions B
15:40-18:10, Monday 6 July ― Kuramae Hall
Extreme Ultraviolet Lithography(EUVL)
P16
1X nm HPレジスト評価用EUV二光束干渉露光系の透過型回折格子の製作
Development of Transmission Grating of EUV Interference Lithography for 1X nm HP EUV Resist Evaluation
福田 裕貴、福井 翼、谷野 寛仁、九鬼 真輝、渡邊 健夫、木下 博雄、原田 哲夫(兵県大)
Yuki Fukuda, Tsubasa Fukui, Hirohito Tanino, Masaki Kuki, Takeo Watanabe, Hiroo Kinoshita, and Tetsuo Harada (Univ. Hyogo)
P17
位相欠陥評価用の集光型コヒーレント回折顕微鏡の開発
Development of Micro Coherent EUV Scatterometry Microscope for Phase Defect Characterization
橋本 拓、原田 哲男、木下 博雄、渡邊 健夫(兵県大)
Hiraku Hashimoto, Tetsuo Harada, Hiroo Kinoshita, and Takeo Watanabe (Univ. Hyogo)
P18
Sub-14nmhp向け新規EUVレジスト開発
Novel EUV Resist Development for Sub-14nm Half Pitch
金子 哲朗、堀 雅史、中川 恭志、木元 孝和、白谷 宗大、成岡 岳彦、永井 智樹、Ramakrishnan Ayothi、日城 良樹、島 基之、木村 徹 (JSR)
Tetsuro Kaneko, Masafumi Hori, Hisashi Nakagawa, Takakazu Kimoto, Motohiro Shiratani, Takehiko Naruoka, Tomoki Nagai,
Ramakrishnan Ayothi, Yoshiki Hishiro, Motoyuki Shima, and Toru Kimura (JSR)
P19
7nmノードを見据えたEUVリソグラフィー用ネガティブトーンイメージングプロセス
Negative-tone Imaging Process for EUV Lithography beyond 7 nm Node
椿英 明1、二橋 亘1、土橋 徹1, 2、藤森 亨2、後藤 孝浩1(富士フイルム1、EIDEC2)
Hideaki Tsubaki1, Wataru Nihashi1, Toru Tsuchihashi1, 2, Toru Fujimori2, and Takahiro Goto1 (FUJIFILM1, EIDEC2)
P20
新規保護基、PAGを適用したEUV化学増幅型レジストの開発
Development of EUV Chemically Amplified Resist which applying Novel Protecting Group and PAG
松丸 省吾、藤井 達也、神園 喬、鈴木 健太、山崎 広人、新井 雅俊、小室 嘉嵩、川上 晃也、山田 知孝、川名 大助、平山 拓(東京応化)
Shogo Matsumaru, Tatsuya Fujii, Takashi Kamizono, Kenta Suzuki, Hiroto Yamazaki, Masatoshi Arai
Yoshitaka Komuro Akiya Kawaue, Tomotaka Yamada, Daisuke Kawana,and Taku Hirayama (TOK)
P21
Actinic光欠陥検査装置で検出した自然ブランク欠陥の実露光転写評価
EUV Scanner Printability Evaluation of Natural Blank Defects Detected by Actinic Blank Inspection
高木 紀明1、渡辺 秀弘1、Dieter Van den Heuvel2、Rik Jonckheere2、Emily Gallagher2 (EIDEC1、imec2)
Noriaki Takagi1, Hidehiro Watanabe1, Dieter Van den Heuvel2, Rik Jonckheere2, and Emily Gallagher2 (EIDEC1, imec2)
Nanoimprint Lithography(NIL)
P22
光ナノインプリントリソグラフィに向けた高粘性光硬化性組成物のスクリーン印刷
Screen Printing of Viscous UV-curable Resins for UV Nanoimprint Lithography
廣芝 伸哉、田辺 明、上原 卓也、中川 勝(東北大)
Nobuya Hiroshiba, Akira Tanabe, Takuya Uehara, and Masaru Nakagawa (Tohoku Univ.)
P23
連続UVナノインプリントにおける離型層の耐久性の検討
Study of the Resistance of Antisticking Layer against Repeated UV Nanoimprint
伊吉 就三、岡田 真、春山 雄一、 松井 真二(兵県大)
Shuso Iyoshi, Makoto Okada, Yuichi Haruyama, and Shinji Matsusi (Univ. Hyogo)
P24
ナノインプリントにおける離型力のモールド側壁傾斜角依存性
Dependence of De-molding Force on Sidewall Slope Angle of Mold Pattern in Nanoimprint Lithography
植村 公亮、栩野 貴充、川田 博昭、平井 義彦(大府大)
Kimiaki Uemura, Takamitsu Tochino, Hiroaki Kawata, and Yoshihiko Hirai (Osaka Pref. Univ.)
P25
TM
フッ素系ROMP(FROMP )ナノインプリント材料
Fluorinated ROMP Materials (FROMP
TM
) for Use in Nanoimprint Technology
小田 隆志、須永 忠弘(三井化学)
Takashi Oda and Tadahiro Sunaga (Mitsui Cemical)
P26 ZEPとシュリンク剤を用いたシングルナノメーター・マスターモールドの作製
A Single-nanometer Master-mold Fabrication with ZEP and Shrink-material Followed by NIL and SADP
小林 英雄、井山 博雅、鈴木 宏太、岸本 修司 (HOYA)
Hideo Kobayashi, Hiromasa Iyama, Kota Suzuki, and Shuji Kishimoto (HOYA)
P27 ZEP7000を用いた熱リソグラフィによるサブ波長構造体用ローラーモールドの作製
Sub-100nm Resolution Demonstration by Heat-mode (thermal) Lithography with a Polymer Resist ZEP-7000
to Fabricate a Roller Imprint-mold for Sub Wavelength Periodic Structures
野田 琢郎、小林 英雄、中塚 栄(HOYA)
Takuro Noda, Hideo Kobayashi, and Sakae Nakatsuka (HOYA)
R&D Support Program
P28
文部科学省ナノテクノロジープラットフォーム事業 "微細加工プラットフォーム"
Nanofabrication Platform", Nanotechnology Platform, MEXT
島本 直伸1、有本 宏1、富井 和志2(産総研1、京大2)
1
Naonobu Shimamoto , Hiroshi Arimoto1, and Kazushi Tomii2 (AIST1, Kyoto Univ.2)