REFERENCES - Shodhganga

151
REFERENCES
1.
Altera (2006) “AVALON Interface Specification”.
2.
Angiolini F., Meloni P., Carta S., Benini L. and Raffo L. (2006),
“Contrasting a NoC and a traditional interconnect fabric with layout
awareness”, Proceedings of Design, Automation and Test in Europe
Conference and Exhibition (DATE”06), Europe, pp. 124-129.
3.
Anthony Leroy, Pol Marchal, Adelina Shickova, Francky Catthoor,
Frédéric Robert and Diederik Verkest (2005), “Spatial division
multiplexing: a novel approach for guaranteed throughput on NoCs”,
Proceedings of International Conference on Hardware/Software
Codesign and System Synthesis (IEEE CODES-ISSS”05), Seoul,
Korea, pp.19-21.
4.
Anthony Leroy (2007), “Optimizing the on-chip communication
architecture of low power Systems-on-Chip in Deep Sub-Micron
technology”, Ph.D. thesis, Université libre de Bruxelles, Belgium.
5.
Anthony Leroy, Dragomir Milojevic, Diederik Verkest, Frédéric
Robert, Francky Catthoor (2008), “Concepts and Implementation of
Spatial Division Multiplexing for Guaranteed Throughput in
Networks-on-Chip”, IEEE Transactions on Computers, Vol. 57, No. 9,
pp. 1182-1195.
6.
ARM Limited (1999), “AMBA Specification”, Cambridge, U.K.
7.
ARM (2001) “AMBA
Specification (Rev2.0)”.
8.
ARM Ltd., “Multi-Layer AHB”.
9.
Bainbridge W.J. and Furber S.B. (1998) “Asynchronous macrocell
Interconnect using MARBLE”, Proceedings of the 4 th International
Symposium on Advanced Research in Asynchronous Circuits and
Systems, San Diego, Canada.
Specification
and
Multi
layer
AHB
152
10.
Benini L. and Micheli G.D. (2002), “Networks on chips: a new SoC
paradigm”, IEEEComputer, Vol. 35, No. 1, pp. 70-78.
11.
Bernini L. and Micheli G. D. (2004) “Networks on chips: A new
paradigm for componentbased mpsoc design”, Multiprocessor
Systems-on-Chips, Morgan Kaufman, pp. 49-80.
12.
Benini L. and Micheli G. De (2006), “Networks on Chips: Technology
and Tools”, Morgan Kaufmann Publishers, San Francisco, CA, USA.
13.
Chang Hee Pyoun, Chi Ho Lin, Hi Seok Kim, Jong Wha Chong
(2003), “The efficient bus arbitration scheme in SoC environment”,
Proceedings of The 3rd IEEE International Workshop on System-onChip for Real-Time Applications, Alberta, Canada.
14.
Chapiro D.M. (1984) Globally-Asynchronous Locally-Synchronous
Systems. PhD thesis, Standford University, California.
15.
Chen G., Chen H., Haurylau M., Nelson N. A., Albonesi D. H.,
Fauchet P. M. and Friedman E.G. (2006) “On-chip copper-based vs.
optical interconnects: Delay uncertainty, latency, power, and
bandwidth density comparative predictions”, Proceedings of the IEEE
International Interconnect Technology Conference , California,
pp. 39-41.
16.
Chen J.Y., et al (1999), “ Segmented Bus Design for Low-Power
Systems”, IEEE Transactions on Very Large Scale Integrating
Systems, Vol. 7, No. 1, pp. 25-29.
17.
Cheng-Ta Hsieh R. and Pedram. M. (2002) “Architectural energy
optimization by bus splitting”, in Proceedings of IEEE Transactions on
Computer-Aided Design of Integrated Circuits and Systems, Vol. 21,
No. 4, pp. 408-414 .
18.
Dally W. J. and Towels B. (2001), “Route Packets, Not Wires: OnChip Interconnection Networks”, Proceedings of 38th Design
Automation Conference, Las Vegas, Nevada, pp.684-689.
19.
Dally W. J. and Towel B. (2004) “Principles and Practices of
Interconnection Networks”, Amsterdam, Elsevier.
153
20.
David Atienzaa B., Federico Angiolinic A., Srinivasan Muralia,
Antonio Pullinid, Luca Beninic and Giovanni De Michelia (2008),
“Network-on-Chip design and synthesis outlook”, Integration, VLSI
Journal 41 pp.340-359.
21.
Dent D.J. (2000) “System –on-Chip research leads to
hardware/software co-design degree”, 30th IEEE Frontiers in Education
Conference, FIE 2000, Kansas City, MO.
22.
Drinic M., Kirovski D., Meguerdichian S. and Potkonjak M. (2000),
“Latency- guided on-chip bus network design”, Proc. Int. Conf. on
Computer-Aided Design, California, USA, pp. 420-423.
23.
Duato J., Yalamanchili S. and L. Ni (2002), “Interconnection
Networks—An Engineering Approach”, Morgan Kaufmann, USA.
24.
Farhadur Arifin (2004), “Electronic System Design”, Master of
Science Thesis, Laboratory of Electronics and Computer System
(LECS), Stockholm.
25.
Farhadur Arifin (2004), “Implementation and Evaluation of
Segmented-Bus Architecture”, M.Sc. thesis, Royal Institute of
Technology (KTH), Sweden.
26.
Flynn D. (1997) “AMBA: enabling reusable on-chip designs”, IEEE
Micro, Vol.17, No.4, pp. 20-27.
27.
Gasteier M. and Glesner M. (1999), “Bus-based communication
synthesis on system level”, ACM Trans. Design Autom. Electron.
Syst., Vol. 4, No. 1, pp. 1-11.
28.
Gindin R., Cidon I. and Keidar I. (2007), “NoC-Based FPGA:
Architecture and routing”, Proceedings of 2007 IEEE International
Symposium on Network-on-Chips, pp 253-264.
29.
Goosens K., Dielissen J. and Radulescu A. (2005), “The aethereal
network on chip: Concepts, architectures, and implementations”, IEEE
Design and Test of Computers, Vol.22, No.5, pp.21-31.
30.
Hennessy J. and Petterson C. A. A. Q. A. D. (2003) “Computer
Architecture: A Quantitative Approach”, Amsterdam, Elsevier.
154
31.
Ho W. and Pinkston T. (2006) “A design methodology for efficient
application-specific on-chip interconnects”, IEEE Trans. On Parallel
and Distributed Systems, Vol. 17, No. 2, pp. 174-190.
32.
Horspool N. and Gorman P. (2001) “The ASIC Handbook”, Upperside
River, NJ: Prentice Hall, USA.
33.
Hsieh C. and Pedram M. (2002), “Architectural energy optimization by
bus splitting”, IEEE Trans. Computer-Aided Design Integrated.
Circuits Systems Vol. 21, No. 4, pp. 408-414.
34.
http://www.cordis.lu/esprit/src/omihome
35.
http://www.chips.ibm.com/products/coreconnect/
36.
http://www.fpgasoc.com
37.
http://www.siliconfareast.com
38.
http://www.wikipedia.org
39.
IBM (1999) “CoreConnect Bus Architecture”.
40.
IBM Microelectronics “Core connect bus architecture”.
41.
IBM Core
pc/cores.
42.
Jantsch A. and Tenhunen H. (2003), “Networks on Chip”, Kluwer
Academic Publishers, Hingham, MA, USA.
43.
Jone W.B., et al (2003), “Design Theory and Implementation for LowPower Segmented Bus Systems”, ACM Transactions on Design
Automation of Electronic Systems, Vol. 8, No. 1, pp. 38-54.
44.
Kanishka Lahiri, Anand Raghunathan and Ganesh Lakshminarayana
(2001) “Lotterybus: A new high-performance communication
architecture for System-on-Chip designs”, ACM 1-581, Las Vegas,
Nevada, USA.
Connect.
http://www.chips.ibm.com/products/power
155
45.
Kanishka Lahiri, Anand Raghunathan and Ganesh Lakshminarayana
(2006) “The Lotterybus On-Chip communication architecture”, IEEE
Transactions on Very Large Scale Integration (VLSI) systems, Vol. 14,
No. 6.
46.
Katsinis
(1997)
“A Segmented-Shared-Bus
Multicomputer
Architecture”, Ninth International Conference on Parallel and
Distributed Computing and Systems, Washington, D.C.
47.
Kotsis G. (1993), “Interconnection Topologies for Parallel processing
Systems”, PARS Mitteilungen, No.11, pp. 1-6.
48.
Lahiri K., Dey S. and Raghunathan A. (2004) “Design of
communication architectures for high-performance and energyefficient systems-on-chip”, Multiprocessor Systems-on-Chips, Morgan
Kaufman, pp. 187-222.
49.
Lahiri K., Raghunathan A. and Dey S. (2004), “Design space
exploration for optimizing on-chip communication architectures”,
IEEE Trans.Comput.-Aided Design of Integrated Circuits Syst.,
Vol. 23, No. 6, pp.952-961.
50.
Lee S. and Choi K. (1999), “Partitioned-bus architecture synthesis
based on data transfer model”, The 6 th Asia Pacific Conference on
Chip Design Language, Japan.
51.
Leroy A., Marchal P., Shickova A., Catthoor F., Robert F. and Verkest
D. (2005) “Spatial Division Multiplexing : A novel Approach for
Guaranteed Throughput on NoC s”, International Conference on
Hardware/Software Codesign and System Synthesis, USA, pp. 81-86.
52.
Leroy A., Milojevic D., Verkest D., Robert F., Catthoor F. (2008)
“Concepts and Implementation of Spatial Division Multiplexing for
Guaranteed Throughput in Networks-on-Chip”, IEEE Computer
Society, IEEE Transactions on Computers,Vol.57, No. 9.
53.
Lu R., Cao A. and Koh C.K. (2005), “Improving the scalability of
samba bus architecture”, Proc. Asia-South Pacific Design Automation
Conference, China, pp. 1164-1167.
54.
Lu R. and Koh C.K. (2003) “SAMBA-Bus, high performance bus
architecture for system-on-chips”, Proc. Int. Conf. Comput.-Aided
Design, USA, pp. 8-12.
156
55.
Lu R. and Koh C.K. (2004) “A high performance bus communication
architecture through bus splitting”, Proceedings of the Asia and South
Pacific Design Automation Conference (ASP-DAC), Japan,
pp.751-755.
56.
Lu R. and Koh C.K. (2004) “A high performance bus communication
architecture through bus splitting”, Proc. Asia-South Pacific Design
Automation Conference, Japan, pp. 751-755.
57.
and
“An Overview of On-Chip
Buses”, Facta Universitatis, Ser. Elect. and Energet., Vol. 19, No. 3,
pp. 405-428.
58.
Neeta Doifode, Dinesh Padole and Preeti Bajaj (2008), “Dynamic
lottery bus arbiter for shared bus System on Chip: A design approach
with VHDL”, First IEEE International Conference on Emerging
Trends in Engineering and Technology, India.
59.
OCP (2001) “Overview of open core protocol OCP”, International
Partnership Association. Portland, OR 97221, USA.
60.
Open Core Protocol International Partnership (OCP-IP) “OCP
datasheet”.
61.
Pasricha S., Dutt N. and Ben-Romdhane M. (2004) “Fast exploration
of bus-based on-chip communication architectures”, in Proc. Int.
Symp. HW/SW Codesign, Sweden, pp. 242–247.
62.
Pau and Ronny (2008), “A Configurable Router for Embedded
Network-on-Chip Support in Field-Programmable Gate Arrays”,
Electrical and Computer Engineering Graduate thesis, Queen”s
University, Ontario, Canada.
63.
Pham D. C., et al (2006), “Overview of the architecture, circuit design,
and physical implementation of a first-generation cell processor”, IEEE
Journal of Solid-State Circuits, Vol. 41 , No. 1 , pp. 179-196 .
64.
Plosila J., et al (2003), “Implementation of a Self-Timed Segmented
Bus”, IEEE Design & Test of Computers, Vol. 20, No. 6, pp. 44-50.
65.
Radulescu A. and Goossens K. (2004) “Communication services for
networks on chip”, Domain-Specific Processors: Systems,
Architectures, Modeling, and Simulation, New York: Marcel Dekker
Inc., pp. 193-213.
157
66.
Rowen C. (2004) “Engineering the Complex SoC: Facts, Flexible
Design with Configurable Processors”, Upperside River, NJ: Prentice
Hall.
67.
Ruibing Lu, Aiqun Cao and Cheng-Kok Koh (2007) “SAMBA-Bus: A
High Performance bus architecture for System-on-Chips”, IEEE
Transactions On Very Large Scale Integration (VLSI) Systems,
Vol. 15, No. 1.
68.
Salminen E., Lahtinen V., Kuusilinna K. and Hamalainen T. (2002),
“Overview of bus-based system-on-chip interconnections”,
Proceedings of IEEE International Symposium on Circuits Systems,
USA, pp. II-372-II-375.
69.
Sekar K., Lahiri K. and Dey S. (2004) “Configurable platforms with
dynamic platform management: An efficient alternative to applicationspecific system-on-chips”, Proceedings of 17th International
Conference on VLSI Design (VLSID), India, pp. 307-315.
70.
Sekar K., Lahiri K., Raghunathan A. and Dey S. (2005) “Flexbus: A
high performance System on Chip communication architecture with a
dynamically configurable topology”, in Proc. Design and Automation
Conference, USA, pp. 571-574.
71.
Shandhag N. (2004) “Reliable and efficient system-on-chip design”,
IEEE Computer, Vol. 37, No. 3, pp. 42-50.
72.
Shin E.S., et al (2002), “Round-robin Arbiter Design and Generation”,
ISSS”02.
73.
Siemens A.G. (1994), “Open Microprocessor Initiative”. OMI 324 PI
Bus, Rev0.3d, OMI Standards Draft.
74.
Sonics.inc. (2002) “Sonics network technical overview”.
75.
Sonics Inc., “Sonics Integration Architecture”.
76.
Steinhogl W., et al. (2002,) “Size-dependent resistivity of metallic
wires in the mesoscopic range”, Physical Review B 66, 075414,
American Physical Society.
77.
STMicro Electronics (2003) “STBus Communication
Concepts and Definitions”, Reference Guide.
System:
158
78.
Strano G., Tiralongo S. and Pistrito C. (2006) “Cp/stbus plug-in
methodology”.
79.
Sudeep Pasricha and Nikil Dutt (2008) “On-Chip Communication
Architectures-System On-Chip interconnect”, Morgan Kaufmann
Publication, Elsevier Inc.
80.
Tiberiu Seceleanu, Juha Plosila and Pasi Liljeberg (2002), “On-Chip
th
Segmented Bus: A Self Timed Approach”, Proceedings of the 15
IEEE ASIC/SOC Conference, New York, USA, pp. 216-221.
81.
Tiberiu Seceleanu (2004), “Communication on a Segmented Bus
Platform”, Proceedings of the17th IEEE SOC Conference, Santa Clara,
USA, pp. 205-208.
82.
Tiberiu Seceleanu, Stefan Stancescu (2004), “Arbitration for the
Segmented Bus Architecture”, Proceedings of the International
Semiconductor Conference (CAS 2004), Romania, pp 487-490.
83.
Tiberiu Seceleanu, Stefan Stancescu, Vasile Lazarescu (2005),
“Distributed Arbitration for the Segmented Bus Platform”, Proceedings
of the International Symposium on Signals, Circuits and Systems ISSCS”2005, Romania, pp. 63-66.
84.
Turner J. and Yamanaka N. (1998), “Architectural choices in large
scale ATM Switches”, IEICE Trans. Commun., Vol. E-81B, No. 2,
pp. 120-137.
85.
Udaya Kamath and Rajita Kaundin (2001) “System-on-Chip designs
strategy for success”, Whitepaper, Wipro technologies.
86.
VCI Alliance (2001) “Virtual component interface standard Version 2”
(ocb 2 2.0).
87.
WISHBONE specification.
88.
www.socdrawer –shared resource.com
89.
Wolf W. (2004) “The future of multiprocessor systems-on-chips”, in
Proceedings of the 41st Design Automation Conference (DAC”04),
San Diego, Canada, pp. 681-685.
159
90.
Wolkotte P.T., Smit G.J.M., Rauwerda G.K. and Smit L.T. (2005),
“An Energy-Efficient Reconfigurable Circuit Switched Network-onChip”, Proceedings of IEEE International Parallel and Distributed
Processing Symposium, Colorado, USA, pp. 155.
91.
Yoshimura R., Boon K. T., Ogawa T., Hatanaka S., Matsuoka T. and
Taniguchi K. (2000) “DS-CDMA wired bus with simple
interconnection topology for parallel processing system LSIs”, in Proc.
Int. Solid-State Circuits Conference, San Francisco, USA, pp. 370-371.
92.
Zhang Y., Chen R. Y., YE W. and Irwin M. J. (1998) “System Level
Interconnect Modeling”, Proceedings of the International ASIC
Conference, New York, pp. 289-293.
93.
Zhang Y., Ye W. and Irwin M. J. (1998) “An alternative architecture
for on-chip global interconnect: Segmented bus power modeling”,
Proceedings of Thirty-Second Asilomar Conference on Signals,
Systems & Computers, Pacific Grove, CA, pp. 1062-1065.
94.
Zhu X. and Malik S. (2002) “A hierarchical modeling framework for
on-chip communication architectures”, in Proc. Int. Conf. Computer
Aided Design Integr. Circuits Syst., USA, pp. 663-670.
95.
Zhonghai Lu (2007), “Design and Analysis of On-Chip
Communication for Network-on-Chip Platforms”, Ph.D. thesis, Royal
Institute of Technology, Stockholm.