Zynq-7000 All Programmable SoC 概要 (DS190)

Zynq‐7000 All Programmable SoC 概要
Product 製品仕様
DS190 (v1.10) 2016 年 9 月 27 日
Zynq‐7000 All Programmable SoC の第 1 世代アーキテ ク チ ャ
Zynq®-7000 フ ァ ミ リ は、 ザ イ リ ン ク ス の All Programmable SoC アーキ テ ク チ ャ で構成 さ れてい ます。 こ の製品は、 豊富な機能を備え
たデ ュ アル コ ア ま たはシ ン グル コ ア ARM® Cortex™-A9 ベース のプ ロ セ ッ シ ン グ シ ス テ ム (PS) と ザ イ リ ン ク ス の 28nm プ ロ グ ラ マブ
ル ロ ジ ッ ク (PL) を 1 つのデバ イ ス に組み合わせた も のです。 PS は ARM Cortex-A9 CPU を中核 と し て、 オンチ ッ プ メ モ リ 、 外部 メ モ
リ イ ン タ ーフ ェ イ ス、 幅広い周辺接続 イ ン タ ーフ ェ イ ス を備え てい ます。
プ ロ セ ッ シ ング シ ス テム (PS)
ARM Cortex‐A9 ベースの ア プ リ ケーシ ョ ン プ ロ セ ッ サ ユニ ッ ト (APU)
外部 メ モ リ イ ン タ ー フ ェ イ ス
•
マルチプ ロ ト コ ル ダ イ ナ ミ ッ ク メ モ リ コ ン ト ロ ー ラ ー
•
DDR3/DDR3L/DDR2/LPDDR2 メ モ リ への 16 ビ ッ ト ま たは 32
ビ ッ ト イ ン タ ーフ ェ イ ス
•
各 CPU につ き 2.5DMIPS/MHz
•
CPU 周波数 : 最大 1GHz
•
コ ヒ ーレ ン シ を維持 し たマルチプ ロ セ ッ サをサポー ト
•
16 ビ ッ ト モー ド で ECC をサポー ト
•
ARMv7-A アーキ テ ク チ ャ
•
シ ン グル ラ ン ク の 8 ビ ッ ト 、 16 ビ ッ ト 、 ま たは 32 ビ ッ ト 幅
の メ モ リ で 1GB のア ド レ ス空間
•
ス タ テ ィ ッ ク メ モ リ イ ン タ ーフ ェ イ ス
°
TrustZone® セキ ュ リ テ ィ
°
Thumb®-2 命令セ ッ ト
•
Jazelle® RCT 実行環境アーキ テ ク チ ャ
•
NEON™ メ デ ィ ア処理エン ジ ン
•
単精度お よ び倍精度のベ ク タ ー浮動小数点ユニ ッ ト (VFPU)
•
CoreSight™ お よ びプ ロ グ ラ ム ト レース マ ク ロ セル (PTM)
•
タ イ マー と 割 り 込み
°
3 つの ウ ォ ッ チ ド ッ グ タ イ マー
°
1 つのグ ロ ーバル タ イ マー
°
2 つの ト リ プル タ イ マー カ ウ ン タ ー
•
•
°
パ ラ レル NOR フ ラ ッ シ ュ をサポー ト
°
ONFI1.0 NAND フ ラ ッ シ ュ をサポー ト (1 ビ ッ ト ECC)
°
•
1 ビ ッ ト SPI、 2 ビ ッ ト SPI、 4 ビ ッ ト SPI ( ク ワ ッ ド
SPI)、 ま たは 2 つの ク ワ ッ ド SPI (8 ビ ッ ト ) シ リ アル
NOR フ ラ ッ シ ュ
メ モ リ 間、 メ モ リ か ら ペ リ フ ェ ラ ル、 ペ リ フ ェ ラ ルか ら メ モ
リ 、 ス キ ャ ッ タ ー ギ ャ ザーの ト ラ ンザ ク シ ョ ン をサポー ト
I/O ペ リ フ ェ ラルお よび イ ン タ ー フ ェ イ ス
32KB、 レベル 1 で 4 ウ ェ イ (連想度) セ ッ ト ア ソ シエ イ テ ィ
ブ方式の命令/デー タ キ ャ ッ シ ュ (CPU ご と に独立)
•
512KB、 レベル 2 で 8 ウ ェ イ セ ッ ト ア ソ シエ イ テ ィ ブ方式
のキ ャ ッ シ ュ
(両方の CPU で共有)
バ イ ト パ リ テ ィ をサポー ト
オ ン チ ッ プ メ モ リ
8 ビ ッ ト の SRAM デー タ バ ス (最大 64MB をサポー ト )
8 チ ャ ネル DMA コ ン ト ロー ラ ー
キャ ッ シュ
•
°
•
IEEE802.3 お よ び IEEE1588 rev 2.0 をサポー ト する 2 つの
10/100/1000 ト ラ イ モー ド イ ーサネ ッ ト MAC ペ リ フ ェ ラ ル
°
ス キ ャ ッ タ ー ギ ャ ザー DMA 機能
°
1588 rev. 2 PTP フ レーム を認識
°
GMII、 RGMII、 SGMII イ ン タ ーフ ェ イ ス
最大 12 のエン ド ポ イ ン ト をサポー ト する 2 つの USB 2.0
OTG ペ リ フ ェ ラ ル
•
オンチ ッ プ ブー ト ROM
°
USB 2.0 準拠のデバ イ ス IP コ ア
•
256KB オンチ ッ プ RAM (OCM)
°
On-the-Go (OTG)、 高速、 フル速度、 低速モー ド をサ
ポー ト
•
バ イ ト パ リ テ ィ をサポー ト
© Copyright Xilinx, Inc. Xilinx、 Xilinx のロ ゴ、 Artix、 ISE、 Kintex、 Spartan、 Virtex、 Vivado、 Zynq、 お よび こ の文書に含まれる その他の指定 さ れた ブ ラ ン ド は、 米国および
その他各国のザイ リ ン ク ス社の商標です。 すべてのその他の商標は、 それぞれの保有者に帰属 し ます。 AMBA、 AMBA Designer、 ARM、 ARM1176JZ-S、 CoreSight、 Cortex、
PrimeCell、 お よび MPCore は、 EU およびその他各国の ARM 社の登録商標です。 PCI、 PCIe、 お よび PCI Express は PCI-SIG の商標であ り 、 ラ イ セ ン スに基づいて使用 さ れて
います。 すべてのその他の商標は、 それぞれの保有者に帰属 し ます。 本資料は表記のバージ ョ ンの英語版を翻訳 し た も ので、 内容に相違が生 じ る場合には原文を優先 し ま
す。 資料に よ っ ては英語版の更新に対応 し ていない も のがあ り ます。 日本語版は参考用 と し て ご使用の上、 最新情報につ き ま し ては、 必ず最新英語版を ご参照 く だ さ い。
DS190 (v1.10) 2016 年 9 月 27 日
Product 製品仕様
japan.xilinx.com
1
Zynq‐7000 All Programmable SoC 概要
•
°
Intel EHCI 準拠の USB ホ ス ト
°
外部 PHY の接続用の 8 ビ ッ ト ULPI イ ン タ ーフ ェ イ ス
CAN 2.0B に完全に準拠 し た 2 つの CAN バ ス イ ン タ ーフ ェ
イス
°
CAN 2.0A、 CAN 2.0B、 ISO 118981-1 規格に準拠
°
外部 PHY イ ン タ ーフ ェ イ ス
•
SD/SDIO 2.0/MMC3.31 に準拠 し た 2 つの コ ン ト ロ ー ラ ー
•
3 つのペ リ フ ェ ラ ル チ ッ プ セ レ ク ト を備えた 2 つの全二重
SPI ポー ト
•
2 つの高速 UART (最大 1Mb/s)
•
2 つのマ ス タ ーお よ びス レーブ I2C イ ン タ ーフ ェ イ ス
•
4 つの 32 ビ ッ ト バン ク を備え た GPIO。 その う ち最大 54
ビ ッ ト (1 個のバン ク か ら 32b、 別のバン ク か ら 22b) は PS
I/O と 使用可能で、 最大 64 ビ ッ ト (32b バン ク 2 個) を PL に
接続可能
•
最大 54 の多目的 I/O (MIO) に よ り ペ リ フ ェ ラ ル ピ ン を柔軟
に割 り 当て
イン ターコネク ト
•
PS 内部お よ び PS と PL 間を広帯域接続
•
ARM AMBA® AXI ベース
•
タ イ ミ ン グの厳 し いマ ス タ ーに対 し て QoS をサポー ト し 、
レ イ テ ン シお よ び帯域幅を制御
プ ログ ラ マ ブル ロ ジ ッ ク (PL)
コ ン フ ィ ギ ャ ラ ブル ロ ジ ッ ク ブ ロ ッ ク (CLB)
•
ル ッ ク ア ッ プ テーブル (LUT)
•
フ リ ッ プフ ロ ッ プ
•
カ ス ケー ド 接続可能な加算器
JTAG バウ ン ダ リ スキ ャ ン
•
IEEE1149.1 準拠のテ ス ト イ ン タ ーフ ェ イ ス
PCI Express® ブ ロ ッ ク
36Kb ブ ロ ッ ク RAM
•
ルー ト コ ンプ レ ッ ク ス ま たはエン ド ポ イ ン ト と し ての構成
をサポー ト
•
完全なデ ュ アル ポー ト
•
Gen2 の レー ト ま でサポー ト
•
最大 72 ビ ッ ト 幅
•
最大 8 レーン をサポー ト
•
2 つの 18Kb ブ ロ ッ ク RAM と し て構成可能
シ リ アル ト ラ ン シーバー
DSP ブ ロ ッ ク
•
最大 16 個の レ シーバー と ト ラ ン ス ミ ッ タ ー
最大 12.5Gb/s のデー タ レー ト をサポー ト
•
18 × 25 符号付 き 乗算
•
•
48 ビ ッ ト 加算/累算器
25 ビ ッ ト 前置加算器
2 つの 12 ビ ッ ト A/D コ ンバー タ ー (ADC)
•
プ ロ グ ラ マ ブル I/O ブ ロ ッ ク
•
LVCMOS、 LVDS、 SSTL をサポー ト
•
1.2V ~ 3.3V の /O
•
プ ロ グ ラ ム可能な I/O 遅延お よ び SerDes
DS190 (v1.10) 2016 年 9 月 27 日
Product 製品仕様
•
オンチ ッ プの電圧お よ び温度検出
•
最大 17 チ ャ ネルの外部差動入力
•
最大 1MSPS の変換レー ト
japan.xilinx.com
2
Zynq‐7000 All Programmable SoC 概要
機能一覧
プロセ ッ シング システム
表 1 : Zynq‐7000 お よび Zynq‐7000S All Programmable SoC
デバイ ス名
Z‐7007S
Z‐7012S
Z‐7014S
Z‐7010
Z‐7015
Z‐7020
Z‐7030
Z‐7035
Z‐7045
Z‐7100
デバイ ス番号
XC7Z007S
XC7Z012S
XC7Z014S
XC7Z010
XC7Z015
XC7Z020
XC7Z030
XC7Z035
XC7Z045
XC7Z100
プロセッサ コア
CoreSight 搭載のシ ン グル コ ア ARM
Cortex-A9 MPCore
プ ロ セ ッ サの拡張機能
各プ ロ セ ッ サに NEON™ お よ び単精度/倍精度浮動小数点ユニ ッ ト
最大周波数
667MHz (-1); 766MHz (-2)
L1 キ ャ ッ シ ュ
各プ ロ セ ッ サに 32KB 命令キ ャ ッ シ ュ と 32KB デー タ キ ャ ッ シ ュ
L2 キ ャ ッ シ ュ
512KB
オ ンチ ッ プ メ モ リ
256KB
外部 メ モ リ
サポー ト (1)
667MHz (-1); 766MHz (-2); 866MHz (-3)
ク ワ ッ ド SPI x2、 NAND、 NOR
DMA チ ャ ネル
8 (4 つはプ ロ グ ラ マブル ロ ジ ッ ク 専用)
ペリ
667MHz (-1);
800MHz (-2)
UART x2、 CAN 2.0B x2、 I2C x2、 SPI x2、 32b GPIO x4
ペ リ フ ェ ラル
内蔵 DMA 付 き (1)
USB 2.0 (OTG) x2、 ト ラ イ モー ド ギガ ビ ッ ト イ ーサネ ッ ト x2、 SD/SDIO x2
セキ ュ リ テ ィ (2)
RSA 認証、 256 ビ ッ ト の AES お よ び SHA 複合/認証に よ る セ キ ュ ア ブー ト
プロセ ッ シング システム と
プ ロ グ ラ マブル ロ ジ ッ ク の
イ ン タ ーフ ェ イ ス ポー ト
(プ ラ イ マ リ イ ン タ ーフ ェ
イ スお よ び割 り 込みのみ)
667MHz (-1); 800MHz (-2); 1GHz (-3)
DDR3、 DDR3L、 DDR2、 LPDDR2
外部ス タ テ ィ ッ ク
メ モ リ サポー ト (1)
フ ェ ラ ル(1)
CoreSight 搭載のデ ュ アル コ ア ARM Cortex-A9 MPCore
AXI 32 ビ ッ ト マ ス タ ー x2、 AXI 32 ビ ッ ト ス レーブ x2
AXI 64 ビ ッ ト /32 ビ ッ ト メ モ リ x4
AXI 64 ビ ッ ト ACP
プ ロ グ ラ マブル ロ ジ ッ ク
16 個の割 り 込み
相当す る ザ イ リ ン ク ス
7 シ リ ーズ プ ロ グ ラ マ
ブル ロ ジ ッ ク
Artix®-7
FPGA
Artix-7
FPGA
Artix-7
FPGA
Artix-7
FPGA
Artix-7
FPGA
Artix-7
FPGA
Kintex®-7
FPGA
Kintex-7
FPGA
Kintex-7
FPGA
Kintex-7
FPGA
プ ロ グ ラ マブル ロ ジ ッ
ク セル
23K
55K
65K
28K
74K
85K
125K
275K
350K
444K
ル ッ ク ア ッ プ テーブル
(LUT)
14,400
34,400
40,600
17,600
46,200
53,200
78,600
171,900
218,600
277,400
フ リ ッ プフ ロ ッ プ
28,800
68,800
81,200
35,200
92,400
106,400
157,200
343,800
437,200
554,800
ブ ロ ッ ク RAM
(36Kb ブ ロ ッ ク の数)
1.8Mb
(50)
2.5Mb
(72)
3.8Mb
(107)
2.1Mb
(60)
3.3Mb
(95)
4.9Mb (140)
9.3Mb
(265)
17.6Mb
(500)
19.1Mb
(545)
26.5Mb
(755)
66
120
170
80
160
220
400
900
900
2,020
73
GMACs
131
GMACs
18GMACs
100
GMACs
200
GMACs
276
GMACs
593
GMACs
1,334
GMACs
1,334
GMACs
2,622
GMACs
Gen2 x4
Gen2 x8
Gen2 x8
Gen2 x8
DSP ス ラ イ ス
(18 × 25 MACC)
DSP の最大
処理速度 (対称 FIR)
PCI Express
(ルー ト コ ン プ レ ッ ク
ス ま たはエ ン ド ポ イ ン
ト )(3)
アナ ロ グ ミ ッ ク ス ド
シ グナル
(AMS)/XADC
セキ ュ リ テ ィ (2)
Gen2 x4
Gen2 x4
最大 17 の差動入力を備え た 12 ビ ッ ト 1MSPS ADC x2
AES お よ び SHA 256b に よ る ブー ト コ ー ド お よ び PL の コ ン フ ィ ギ ュ レーシ ョ ン、 復号、 認証
注記 :
1. CLG225 パ ッ ケージの場合は制限があ り ます。 詳細は、 『Zynq-7000 All Programmable SoC テ ク ニ カル リ フ ァ レ ン ス マニ ュ アル』 (UG585) を参照 し て く だ さ い。
2.
セキ ュ リ テ ィ はプ ロ セ ッ シ ン グ シ ス テ ム と プ ロ グ ラ マブル ロ ジ ッ ク で共有 し ます。
3.
サポー ト さ れ る 特定のデバ イ ス の詳細は、 『7 Series FPGAs Integrated Block ofr PCI Express 製品ガ イ ド 』 (PG054) を参照 し て く だ さ い。
DS190 (v1.10) 2016 年 9 月 27 日
Product 製品仕様
japan.xilinx.com
3
Zynq‐7000 All Programmable SoC 概要
表 2 : デバイ ス と パ ッ ケージの組み合わせI/O と GTP、 GTX ト ラ ン シーバーの最大数
CLG225
CLG400
CLG484
CLG485(2)
SBG485(2)
SBV485
13 x 13mm
17 x 17mm
19 x 19mm
19 x 19mm
19 x 19mm
0.8mm
0.8mm
0.8mm
0.8mm
0.8mm
6.25Gb/s
6.6Gb/s
パ ッ ケージ (1)
サイ ズ
ボール ピ ッ チ
ト ラ ン シー
バー速度 (最
大)
デバイ ス
PS I/O(3)
XC7Z007S
84
SelectIO
HR(4)
HP(5)
54
–
PS I/O(3)
128
SelectIO
HR(4)
HP(5)
100
–
PS I/O(3)
SelectIO
HR(4)
HP(5)
XC7Z012S
XC7Z014S
XC7Z010
84
54
–
128
125
–
128
100
–
128
200
128
125
–
128
GTP
128
128
HR(4)
HP(5)
4
150
–
4
150
–
SelectIO
PS I/O(3)
GTX
128
4
HR(4)
HP(5)
50
100
–
XC7Z015
XC7Z020
SelectIO
PS I/O(3)
200
–
XC7Z030
XC7Z035
XC7Z045
XC7Z100
注記 :
1. 記載 さ れてい る パ ッ ケージはすべて鉛フ リ ーです (SBG485 には例外項目 No. 15 が適用 さ れ る )。 一部は鉛パ ッ ケージで も 入手可能です。
2. CLG485 パ ッ ケージの Z-7012S お よ び Z-7015 デバ イ ス と SBG485/SBV485 パ ッ ケージの Z-7030 デバ イ ス は ピ ン配置に互換性があ り ます。
3. PS I/O 数に専用 DDR キ ャ リ ブ レーシ ョ ン ピ ンは含まれてい ません。
4. HR は High Range I/O で、 1.2V か ら 3.3V の I/O 電圧を サポー ト し ます。
5. HP は High Performance I/O で、 1.2V か ら 1.8V の I/O 電圧を サポー ト し ます。
表 3 : デバイ ス と パ ッ ケージの組み合わせI/O と GTP、 GTX ト ラ ン シーバーの最大数 (続き)
FBG484
FBV484
FBG676
FBV676
FFG676
FFV676
FFG900
FFV900
FFG1156
FFV1156
23 x 23mm
27 x 27mm
27 x 27mm
31 x 31mm
35 x 35mm
ボール ピ ッ チ
1.0mm
1.0mm
1.0mm
1.0mm
1.0mm
ト ラ ン シー
バー 速度 (最
大)
6.6Gb/s
6.6Gb/s
12.5Gb/s
12.5Gb/s
10.3Gb/s
パ ッ ケージ (1)
サイ ズ
SelectIO
PS I/O(2)
GTX
128
4
SelectIO
PS I/O(2)
GTX
128
XC7Z035
XC7Z045
デバイ ス
HR(3)
HP(4)
100
63
SelectIO
PS I/O(2)
GTX
150
128
100
150
100
150
HR(3)
HP(4)
4
100
128
8
128
8
SelectIO
PS I/O(2)
GTX
150
128
150
HR(3)
HP(4)
4
100
150
128
8
100
128
8
100
HR(3)
HP(4)
16
212
150
128
16
212
150
128
16
212
150
SelectIO
PS I/O(2)
GTX
128
16
HR(3)
HP(4)
250
150
XC7Z007S
XC7Z012S
XC7Z014S
XC7Z010
XC7Z015
XC7Z020
XC7Z030
XC7Z100
注記 :
1. 記載 さ れてい る パ ッ ケージはすべて鉛フ リ ーです (FBG お よ び FFG には例外項目 No. 15 が適用 さ れ る )。 一部は鉛パ ッ ケージで も 入手可能です。
2. PS I/O 数に専用 DDR キ ャ リ ブ レーシ ョ ン ピ ンは含まれてい ません。
3. HR は High Range I/O で、 1.2V か ら 3.3V の I/O 電圧を サポー ト し ます。
4. HP は High Performance I/O で、 1.2V か ら 1.8V の I/O 電圧を サポー ト し ます。
DS190 (v1.10) 2016 年 9 月 27 日
Product 製品仕様
japan.xilinx.com
4
Zynq‐7000 All Programmable SoC 概要
Zynq‐7000 フ ァ ミ リ の説明
Zynq-7000 フ ァ ミ リ は FPGA の柔軟性 と ス ケー ラ ビ リ テ ィ 、 そ し て ASIC や ASSP レベルの性能、 消費電力、 使いやす さ を兼ね備えた
製品です。 Zynq-7000 フ ァ ミ リ には幅広いデバ イ ス が用意 さ れてお り 、 業界標準ツールを使用 し て 1 つのプ ラ ッ ト フ ォーム で、 コ ス ト
重視か ら 高性能な も の ま で各種アプ リ ケーシ ョ ン を設計で き ます。 各デバ イ ス に搭載 さ れてい る PS は Zynq-7000 フ ァ ミ リ 全体で共通
ですが、 PL と I/O リ ソ ース はデバ イ ス に よ っ て異な り ます。 こ のため、 Zynq-7000 お よ び Zynq-7000S SoC は次の よ う な幅広いアプ リ
ケーシ ョ ンに対応で き ます。
•
オー ト モーテ ィ ブ : ド ラ イ バー ア シ ス タ ン ス、 ド ラ イ バー イ ン フ ォ メ ーシ ョ ン、 イ ン フ ォ テ イ ン メ ン ト
•
放送用カ メ ラ
•
産業用モー タ ー制御、 産業用ネ ッ ト ワー ク 、 マシ ン ビ ジ ョ ン
•
IP カ メ ラ /ス マー ト カ メ ラ
•
LTE 無線お よ びベースバン ド
•
医療用診断/画像処理
•
プ リ ン タ ー複合機
•
ビデオ/暗視装置
Zynq-7000 アーキテ ク チ ャ では、 カ ス タ ム ロ ジ ッ ク を PL に、 カ ス タ ム ソ フ ト ウ ェ ア を PS にそれぞれ イ ンプ リ メ ン ト で き 、 差別化を
図っ た独自のシ ス テ ム機能が実現可能です。 PS と PL が統合 さ れてい る ため、 ASSP と FPGA な ど を組み合わせた 2 チ ッ プ ソ リ ュ ー
シ ョ ンの よ う に I/O 帯域幅、 レ イ テ ン シ、 消費電力に制約がな く 、 は る かに高い性能が達成 さ れます。
ザ イ リ ン ク ス は、 Zynq-7000 フ ァ ミ リ 向けに多数の ソ フ ト IP を提供 し てい ます。 PS お よ び PL 内のペ リ フ ェ ラ ルには、 ス タ ン ド ア ロ ン
お よ び Linux のデバ イ ス ド ラ イ バーが使用可能です。 Vivado® Design Suite を使用する こ と で、 ソ フ ト ウ ェ ア エン ジニ ア、 ハー ド ウ ェ
ア エン ジニ ア、 シ ス テ ム エン ジニア を問わず短期間で製品開発が完了 し ます。 ま た、 ARM ベース の PS を採用 し てい る ため、 ザ イ リ
ン ク ス の既存の PL エ コ シ ス テ ムに加え、 幅広いサー ド パーテ ィ か ら 提供 さ れ る ツールや IP を利用で き ます。
アプ リ ケーシ ョ ン プ ロ セ ッ サを統合 し た こ と で、 Linux な ど高レベルのオペレーテ ィ ン グ シ ス テ ムに も 対応 し ます。 Zynq-7000 フ ァ ミ
リ では、 その他に Cortex-A9 プ ロ セ ッ サで使用で き る 標準的なオペレーテ ィ ン グ シ ス テ ム を使用可能です。
PS と PL は別々の電源 ド メ イ ンに属 し てい る ため、 必要に応 じ て PL のみ電源を遮断 し て消費電力を抑え る こ と がで き ます。 必ず PS 内
のプ ロ セ ッ サか ら 起動 し 、 PL は ソ フ ト ウ ェ ア主導のアプ ロ ーチで コ ン フ ィ ギ ュ レーシ ョ ン さ れます。 PL コ ン フ ィ ギ ュ レーシ ョ ンは
CPU で動作す る ソ フ ト ウ ェ アに よ っ て管理 さ れ る ため、 ASSP と 同 じ よ う な方式で起動 し ます。
DS190 (v1.10) 2016 年 9 月 27 日
Product 製品仕様
japan.xilinx.com
5
Zynq‐7000 All Programmable SoC 概要
図 1 に、 Zynq-7000 アーキテ ク チ ャ の フ ァ ン ク シ ョ ン ブ ロ ッ ク を示 し ます。 各フ ァ ン ク シ ョ ン ブ ロ ッ ク の詳細は、 『Zynq-7000 All
Programmable SoC テ ク ニ カル リ フ ァ レ ン ス マニ ュ アル』 (UG585) を参照 し て く だ さ い。
X-Ref Target - Figure 1
Zynq-7000 All Programmable SoC
Processing System
I/O
Peripherals
Clock
Generation
MIO
USB
USB
2x USB
GigE
GigE
SD
SDIO
SD
SDIO
GPIO
UART
UART
CAN
CAN
I2C
I2C
SPI
SPI
2x GigE
Reset
Application Processor Unit
SWDT
SystemLevel
Control
Regs
2x SD
IRQ
ARM Cortex-A9
CPU
MMU
32 KB
I-Cache
ARM Cortex-A9
CPU
32 KB
D-Cache
32 KB
I-Cache
Snoop Controller, AWDT, Timer
DMA 8
Channel
512 KB L2 Cache & Controller
OCM
Interconnect
256K
SRAM
Memory
Interfaces
Central
Interconnect
DDR2/3,
DDR3L,
LPDDR2
Controller
CoreSight
Components
SRAM/
NOR
DAP
ONFI 1.0
NAND
DevC
Programmable Logic to
Memory Interconnect
Config
AES/
SHA
High-Performance Ports
Q-SPI
CTRL
XADC
12-Bit ADC
MMU
32 KB
D-Cache
GIC
Memory
Interfaces
EMIO
FPU and NEON Engine
FPU and NEON Engine
TTC
General-Purpose
Ports
DMA
Sync
IRQ
ACP
Programmable Logic
Notes:
1) Arrow direction shows control (master to slave)
2) Data flows in both directions: AXI 32-Bit/64-Bit, AXI 64-Bit, AXI 32-Bit, AHB 32-Bit, APB 32-Bit, Custom
3) Dashed line box indicates 2nd processor in dual-core devices
SelectIO
Resources
DS190_01_072916
図 1 : アーキテ ク チ ャの概略図
プ ロ セ ッ シ ング シ ス テム (PS) の説明
PS は主に 4 つのブ ロ ッ ク で構成 さ れてい ます (図 1)。
•
アプ リ ケーシ ョ ン プ ロ セ ッ サ ユニ ッ ト (APU)
•
メ モ リ イ ン タ ーフ ェ イ ス
•
I/O ペ リ フ ェ ラ ル (IOP)
•
イ ン ターコ ネ ク ト
DS190 (v1.10) 2016 年 9 月 27 日
Product 製品仕様
japan.xilinx.com
6
Zynq‐7000 All Programmable SoC 概要
ア プ リ ケーシ ョ ン プ ロ セ ッ サ ユニ ッ ト (APU)
APU の主な特長は次の と お り です。
•
ARM Cortex-A9 MPCore が 2 個ま たは 1 個含まれてお り 、 各コ アの機能は次の と お り です。
°
2.5DMIPS/MHz
°
動作周波数範囲
-
Z-7007S/Z-7012S/Z-7014S ( ワ イ ヤボ ン ド ) : 最大 667MHz (-1); 766MHz (-2)
-
Z-7010/Z-7015/Z-7020 (ワ イ ヤボ ン ド ) : 最大 667MHz (-1); 766MHz (-2); 866MHz (-3)
-
Z-7030/Z-7035/Z-7045 (フ リ ッ プ フ ロ ッ プ) : 667MHz (-1); 800MHz (-2); 1GHz (-3)
-
Z-7100 (フ リ ッ プチ ッ プ) : 667MHz (-1); 800MHz (-2)
°
動作モー ド : シ ン グル プ ロ セ ッ サ、 対称デ ュ アル プ ロ セ ッ サ、 非対称デュ アル プ ロ セ ッ サ
°
各 コ アで最大 2.0MFLOPS/MHz の単精度お よ び倍精度浮動小数点演算
°
NEON メ デ ィ ア処理エン ジ ンで SIMD をサポー ト
°
Thumb®-2 に よ る コ ー ド 圧縮
°
レベル 1 キ ャ ッ シ ュ (命令 と デー タ が独立、 各 32KB)
-
4 ウ ェ イ のセ ッ ト ア ソ シエ イ テ ィ ブ方式
-
読み出 し /書 き 込みキ ャ ッ シ ュ ミ ス を最大 4 つま で許容する ノ ンブ ロ ッ キ ン グ キ ャ ッ シ ュ シ ス テ ム
°
メ モ リ 管理ユニ ッ ト (MMU) を内蔵
°
TrustZone® に よ る セキ ュ ア モー ド 動作
•
ア ク セ ラ レータ コ ヒ ーレ ン シ ポー ト (ACP) イ ン ターフ ェ イ ス に よ っ て、 PL か ら CPU メ モ リ 空間への整合が取れたア ク セ ス が可能
•
統合 さ れた レベル 2 キ ャ ッ シ ュ (512KB)
•
•
•
•
°
8 ウ ェ イ のセ ッ ト ア ソ シエ イ テ ィ ブ方式
°
TrustZone に よ る セキ ュ ア モー ド 動作
デュ アル ポー ト のオンチ ッ プ RAM (256KB)
°
CPU お よ びプ ロ グ ラ マブル ロ ジ ッ ク (PL) か ら ア ク セ ス可能
°
CPU か ら のア ク セ ス が低レ イ テ ン シ
8 チ ャ ネル DMA
°
複数の転送 タ イ プ をサポー ト : メ モ リ 間、 メ モ リ か ら ペ リ フ ェ ラ ル、 ペ リ フ ェ ラ ルか ら メ モ リ 、 ス キ ャ ッ タ ー ギ ャ ザー
°
64 ビ ッ ト AXI イ ン タ ーフ ェ イ ス に よ る 高スループ ッ ト DMA 転送が可能
°
4 チ ャ ネルは PL 専用
°
TrustZone に よ る セキ ュ ア モー ド 動作
°
2 つの レ ジ ス タ ア ク セ ス イ ン タ ーフ ェ イ ス に よ っ て、 セキ ュ ア ア ク セ ス と 非セキ ュ ア ア ク セ ス の分離が実現
割 り 込みお よ び タ イ マー
°
グ ロ ーバル割 り 込み コ ン ト ロ ー ラ ー (GIC)
°
3 つの ウ ォ ッ チ ド ッ ク タ イ マー (WDT) (各 CPU に 1 つずつ、 シ ス テ ム用に 1 つ)
°
2 つの ト リ プル タ イ マー /カ ウ ン タ ー (TTC)
CoreSight に よ る Cortex-A9 のデバ ッ グお よ び ト レース をサポー ト
°
命令お よ び ト レース用のプ ロ グ ラ ム ト レース マ ク ロ セル (PTM)
°
ク ロ ス ト リ ガー イ ン タ ーフ ェ イ ス (CTI) に よ っ て、 ハー ド ウ ェ ア ブ レー ク ポ イ ン ト お よ び ト リ ガーが可能
DS190 (v1.10) 2016 年 9 月 27 日
Product 製品仕様
japan.xilinx.com
7
Zynq‐7000 All Programmable SoC 概要
メ モ リ イ ン タ ー フ ェ イ ス
メ モ リ イ ン タ ーフ ェ イ ス ユニ ッ ト には、 ダ イ ナ ミ ッ ク メ モ リ コ ン ト ロ ー ラ ー と ス タ テ ィ ッ ク メ モ リ イ ン タ ーフ ェ イ ス モジ ュ ールが
あ り ます。 ダ イ ナ ミ ッ ク メ モ リ コ ン ト ロ ー ラ ーは DDR3、 DDR3L、 DDR2、 LPDDR2 メ モ リ をサポー ト し ます。 ス タ テ ィ ッ ク メ モ リ
コ ン ト ロ ー ラ ーは NAND フ ラ ッ シ ュ イ ン タ ーフ ェ イ ス、 ク ワ ッ ド SPI フ ラ ッ シ ュ イ ン タ ーフ ェ イ ス、 パ ラ レル デー タ バ ス、 パ ラ レル
NOR フ ラ ッ シ ュ イ ン タ ーフ ェ イ ス をサポー ト し ます。
ダ イ ナ ミ ッ ク メ モ リ イ ン タ ー フ ェ イ ス
マルチプ ロ ト コ ルの DDR メ モ リ コ ン ト ロ ー ラ ーは、 8 ビ ッ ト 、 16 ビ ッ ト 、 ま たは 32 ビ ッ ト DRAM メ モ リ のシ ン グル ラ ン ク コ ン フ ィ
ギ ュ レーシ ョ ン を使用 し 、 1GB ア ド レ ス空間へ 16 ビ ッ ト ま たは 32 ビ ッ ト 幅でア ク セ スする よ う に設定で き ます。 16 ビ ッ ト のバ ス ア
ク セ ス モー ド では ECC がサポー ト さ れてい ます。 PS には、 DDR コ ン ト ロ ー ラ ーお よ び専用 I/O な ど を含む、 それに関連す る PHY が
統合 さ れてい ます。 DDR3 は最大 1333Mb/s ま でサポー ト し ます。
DDR メ モ リ コ ン ト ロ ー ラ ーには複数のポー ト が接続 さ れてい る ため、 プ ロ セ ッ シ ン グ シ ス テ ム と プ ロ グ ラ マブル ロ ジ ッ ク が同 じ メ モ
リ へのア ク セ ス を共有で き ます。 こ の際、 DDR コ ン ト ロ ー ラ ーは、 次に示す 4 つの AXI ス レーブ ポー ト を使用 し ます。
•
L2 キ ャ ッ シ ュ コ ン ト ロ ー ラ ーを介す る ARM CPU 専用の 64 ビ ッ ト 幅のポー ト が × 1、 こ れは低レ イ テ ン シ と し て設定可能
•
PL ア ク セ ス用の 64 ビ ッ ト 幅ポー ト × 2
•
中央の イ ン タ ー コ ネ ク ト を介す る ほかの AXI マ ス タ ーすべてで共有 さ れ る 64 ビ ッ ト の AXI ポー ト × 1
ス タ テ ィ ッ ク メ モ リ イ ン タ ー フ ェ イ ス
ス タ テ ィ ッ ク メ モ リ イ ン タ ーフ ェ イ ス は外部の ス タ テ ィ ッ ク メ モ リ をサポー ト し ます。
•
8 ビ ッ ト の SRAM デー タ バ ス (最大 64MB をサポー ト )
•
8 ビ ッ ト のパ ラ レル NOR フ ラ ッ シ ュ (最大 64MB をサポー ト )
•
1 ビ ッ ト ECC の ONFi 1.0 NAND フ ラ ッ シ ュ をサポー ト
•
1 ビ ッ ト SPI、 2 ビ ッ ト SPI、 4 ビ ッ ト SPI ( ク ワ ッ ド SPI)、 ま たは 2 つの ク ワ ッ ド SPI (8 ビ ッ ト ) シ リ アル NOR フ ラ ッ シ ュ
I/O ペ リ フ ェ ラル (IOP)
IOP ユニ ッ ト には、 デー タ 通信ペ リ フ ェ ラ ルが含まれます。 IOP の主な特長は次の と お り です。
•
•
•
IEEE802.3 お よ び IEEE1588 rev 2.0 をサポー ト す る 2 つの 10/100/1000 ト ラ イ モー ド イ ーサネ ッ ト MAC ペ リ フ ェ ラ ル
°
ス キ ャ ッ タ ー ギ ャ ザー DMA 機能
°
1588 rev. 2 PTP フ レーム を認識
°
外部の PHY イ ン タ ーフ ェ イ ス をサポー ト
最大 12 のエン ド ポ イ ン ト をサポー ト す る 2 つの USB 2.0 OTG ペ リ フ ェ ラ ル
°
高速モー ド お よ び最高速モー ド を ホ ス ト 、 デバ イ ス、 On-The-Go コ ン フ ィ ギ ュ レーシ ョ ンでサポー ト
°
USB 2.0 完全準拠のホ ス ト 側 IP コ アお よ びデバ イ ス側 IP コ ア
°
32 ビ ッ ト の AHB DMA マ ス タ ーお よ び AHB ス レーブ イ ン タ ーフ ェ イ ス を使用
°
外部 PHY と の接続用に 8 ビ ッ ト の ULPI を提供
°
Intel EHCI 準拠の USB ホ ス ト コ ン ト ロ ー ラ ーの レ ジ ス タ お よ びデー タ 構造
CAN 2.0B に完全に準拠 し た 2 つの CAN バ ス イ ン タ ーフ ェ イ ス コ ン ト ロ ー ラ ー
°
BOSCH Gmbh が制定 し た CAN 2.0-B 規格
°
ISO 118981-1
°
1 つの外部 PHY イ ン タ ーフ ェ イ ス
•
SD/SDIO 2.0 準拠の 2 つの SD/SDIO コ ン ト ロ ー ラ ー (DMA 内蔵)
•
3 つのペ リ フ ェ ラ ル チ ッ プ セ レ ク ト を備えた 2 つの全二重 SPI ポー ト
•
2 つの UART
DS190 (v1.10) 2016 年 9 月 27 日
Product 製品仕様
japan.xilinx.com
8
Zynq‐7000 All Programmable SoC 概要
•
2 つのマ ス タ ーお よ びス レーブ I2C イ ン タ ーフ ェ イ ス
•
最大 118 GPIO ビ ッ ト
TrustZone シ ス テ ム を使用す る 場合、 2 つの イ ーサネ ッ ト 、 2 つの SDIO、 お よ び 2 つの USB ポー ト (すべてマ ス タ ー デバ イ ス) を セキ ュ
ア あ る いは非セキ ュ ア と し て設定で き ます。
IOP ペ リ フ ェ ラ ルは、 共有 リ ソ ース であ る 最大 54 ピ ンの専用多目的 I/O (MIO) を介 し て外部デバ イ ス と 通信 し ます。 各ペ リ フ ェ ラ ル
は、 あ ら か じ め定義 さ れた ピ ン グループの 1 つに割 り 当て る こ と がで き 、 同時に複数のデバ イ ス を柔軟に割 り 当て る こ と が可能です。
すべての I/O ペ リ フ ェ ラ ルを同時に使用す る には 54 ピ ンでは不十分ですが、 ほ と ん ど の IOP イ ン タ ーフ ェ イ ス信号は PL で使用可能な
ため、 適切に電源投入 し て コ ン フ ィ ギ ュ レーシ ョ ンすれば、 標準の PL I/O ピ ンが利用で き ます。 すべての MIO ピ ンは、 2.5V/3.3V の規
格のほかに 1.8V の HSTL お よ び LVCMOS 規格をサポー ト し てい ます。
イ ン ターコネク ト
APU、 メ モ リ イ ン タ ーフ ェ イ ス ユニ ッ ト 、 お よ び IOP はすべて相互接続 し 、 複数層の ARM AMBA AXI イ ン タ ー コ ネ ク ト を使用 し て
PL と 接続 し てい ます。 こ の イ ン タ ー コ ネ ク ト は、 ノ ンブ ロ ッ キ ン グ型で同時に複数のマ ス タ ー /ス レーブ ト ラ ンザ ク シ ョ ン をサポー
ト し ます。
ARM CPU な ど の レ イ テ ン シの影響を受けやすいマ ス タ ー デバ イ ス は メ モ リ への最短パ ス を割 り 当て、 PL マ ス タ ー デバ イ ス と な る 可
能性があ る 帯域幅が重視 さ れ る マ ス タ ー デバ イ ス には ス レーブ デバ イ ス と の接続が高スループ ッ ト と な る よ う に イ ン タ ー コ ネ ク ト は
設計 さ れてい ます。
こ の イ ン タ ー コ ネ ク ト を通過す る ト ラ フ ィ ッ ク は、 イ ン タ ー コ ネ ク ト 内の QoS (Quality of Service) ブ ロ ッ ク で制御 さ れます。 QoS 機能
を使用 し て、 CPU、 DMA コ ン ト ロ ー ラ ー、 お よ び IOP のマ ス タ ーに相当する 統合 さ れたエン テ ィ テ ィ で生成 さ れた ト ラ フ ィ ッ ク を制
御 し ます。
PS イ ン タ ー フ ェ イ ス
PS の外部イ ン タ ー フ ェ イ ス
PS の外部 イ ン タ ーフ ェ イ ス は、 PL ピ ン と し て割 り 当て る こ と ので き ない専用ピ ン を使用 し ます。 こ れ ら の ピ ンは次の と お り です。
•
ク ロ ッ ク 、 リ セ ッ ト 、 ブー ト モー ド 、 基準電圧
•
最大 54 の専用多目的 I/O (MIO) ピ ン (内部の I/O ペ リ フ ェ ラ ルやス タ テ ィ ッ ク メ モ リ コ ン ト ロ ー ラ ーへ接続す る ために ソ フ ト ウ ェ
アで設定を変更で き る )
•
32 ビ ッ ト ま たは 16 ビ ッ ト の DDR2/DDR3/DDR3L/LPDDR2 メ モ リ
MIO の概要
MIO は、 PS 内のペ リ フ ェ ラ ルお よ びス タ テ ィ ッ ク メ モ リ イ ン タ ーフ ェ イ ス か ら PS ピ ンへ、 コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ で定義
さ れた と お り に多重ア ク セ スす る 役割を果た し ます。 PS の IOP お よ びス タ テ ィ ッ ク メ モ リ イ ン タ ーフ ェ イ ス が使用で き る ピ ンは最大
54 本あ り ます。 表 4 にペ リ フ ェ ラ ル ピ ンのマ ッ ピ ン グ を示 し ます。 図 1 には MIO モジ ュ ールのブ ロ ッ ク 図を示 し ます。
54 ピ ン以上の I/O が必要な場合は、 PL を経由 し て PL に関連する I/O への配線が可能です。 こ れ ら の I/O は EMIO (拡張可能な多目的
I/O) と し て分類 さ れます。
ポー ト マ ッ ピ ン グは複数の位置に割 り 当て る こ と がで き ます。 た と えば、 CAN ピ ンの場合は最大 12 箇所のポー ト マ ッ ピ ン グが可能
です。 ペ リ フ ェ ラ ルお よ びス タ テ ィ ッ ク メ モ リ の ピ ン マ ッ ピ ン グには、 PS コ ン フ ィ ギ ュ レーシ ョ ン ウ ィ ザー ド (PCW) を使用 し ます。
表 4 : MIO ペ リ フ ェ ラル イ ン タ ー フ ェ イ スのマ ッ ピ ング
ペ リ フ ェ ラル イ ン
ターフ ェ イス
MIO EMIO
ク ワ ッ ド SPI
NOR/SRAM
NAND
Yes
なし
USB 0、 1
Yes — 外部 PHY
No
SDIO 0、 1
Yes
Yes
DS190 (v1.10) 2016 年 9 月 27 日
Product 製品仕様
japan.xilinx.com
9
Zynq‐7000 All Programmable SoC 概要
表 4 : MIO ペ リ フ ェ ラル イ ン タ ー フ ェ イ スのマ ッ ピ ング (続き)
ペ リ フ ェ ラル イ ン
ターフ ェ イス
MIO EMIO
SPI : 0、 1
I2C : 0、 1
CAN : 0、 1
GPIO
Yes
CAN : 外部 PHY
GPIO : 最大 54 ビ ッ ト
Yes
CAN : 外部 PHY
GPIO : 最大 64 ビ ッ ト
GigE : 0、 1
RGMII v2.0
外部 PHY
プ ロ グ ラ マブル ロ ジ ッ ク で GMII、 RGMII v2.0 (HSTL)、 RGMII v1.3、 MII、 SGMII、
1000BASE-X をサポー ト
UART : 0、 1
簡易 UART :
2 ピ ンのみ (Tx と Rx)
フル機能 UART (Tx、 Rx、 DTR、 DCD、 DSR、 RI、 RTS、 CTS) は、 次のいずれかの使用
が必要
MIO を介す 2 つのプ ロ セ ッ シ ン グ シ ス テ ム ピ ン (RX 、 TX) と 6 つのプ ロ グ ラ マブル ロ
ジ ッ ク ピ ン、 ま たは 8 つのプ ロ グ ラ マブル ロ ジ ッ ク ピ ン
デバ ッ グ ト レース ポー
ト
Yes — 最大 16 ト レース ビ ッ ト
Yes — 最大 32 ト レース ビ ッ ト
プ ロ セ ッ サ JTAG
Yes
Yes
注記 :
1. CLG225 パ ッ ケージの場合は制限があ り ます。 詳細は、 『Zynq-7000 All Programmable SoC テ ク ニ カル リ フ ァ レ ン ス マニ ュ アル』 (UG585) を参照 し
て く だ さ い。
DS190 (v1.10) 2016 年 9 月 27 日
Product 製品仕様
japan.xilinx.com
10
Zynq‐7000 All Programmable SoC 概要
X-Ref Target - Figure 1
EMIO to PL
GMII
GMII
SDIO
SDIO
RGMII
GigaEth0
GigaEth1
MDIO
RGMII
ULPI
USB
ULPI
USB
SDIO
SDIO
SDIO
SDIO
Quad-SPI
M
I
O
Quad-SPI
NAND
Static Memory
Controller
SRAM/NOR
Trace Debug
2 SPI
SPI
SPI
2 CAN
CAN
CAN
2 UART
UART
UART
2 I2C
I2C
I2C
EMIO to PL
DS190_02_012012
図 2 : MIO モ ジ ュ ールのブ ロ ッ ク図
DS190 (v1.10) 2016 年 9 月 27 日
Product 製品仕様
japan.xilinx.com
11
Zynq‐7000 All Programmable SoC 概要
PS‐PL イ ン タ ー フ ェ イ ス
PS-PL イ ン タ ーフ ェ イ ス の特長は次の と お り です。
•
•
プ ラ イ マ リ デー タ 通信用の AMBA AXI イ ン タ ーフ ェ イ ス
°
32 ビ ッ ト AXI マ ス タ ー イ ン タ ーフ ェ イ ス × 2
°
32 ビ ッ ト AXI ス レーブ イ ン タ ーフ ェ イ ス × 2
°
DDR メ モ リ お よ び OCM へ直接ア ク セ ス で き る 、 64 ビ ッ ト /32 ビ ッ ト に設定可能なバ ッ フ ァ ー付 き AXI ス レーブ イ ン タ ー
フ ェ イ ス x 4 (高性能 AXI ポー ト と も 呼ばれ る )
°
CPU への整合性の取れた ア ク セ ス を可能にす る 64 ビ ッ ト AXI ス レーブ イ ン タ ーフ ェ イ ス (ACP ポー ト ) × 1
DMA、 割 り 込み、 イ ベン ト 信号
°
CPU へ イ ベン ト 情報の信号を与え る ためのプ ロ セ ッ サ イ ベン ト バス
°
PS GIC への PL ペ リ フ ェ ラ ル IP 割 り 込み信号
°
PL 用の 4 つの DMA チ ャ ネル信号
°
非同期の ト リ ガー信号
•
マ ッ プ さ れていない PS ペ リ フ ェ ラ ルか ら PL I/O へのア ク セ ス を可能にす る EMIO
•
ク ロ ッ クおよび リ セ ッ ト
•
°
PL への PS ク ロ ッ ク 出力 (開始/停止制御付 き ) × 4
°
PL への PS リ セ ッ ト 出力 × 4
コ ン フ ィ ギ ュ レーシ ョ ン、 その他
°
フル/パーシ ャ ル PL コ ン フ ィ ギ ュ レーシ ョ ンお よ び PS ブー ト イ メ ージの復号化や認証機能をサポー ト す る プ ロ セ ッ サ コ ン
フ ィ ギ ュ レーシ ョ ン ア ク セ ス ポー ト (PCAP)
°
PL か ら PS へ送信 さ れ る eFUSE お よ びバ ッ ク ア ッ プ バ ッ テ リ 付き RAM の信号
°
XADC イ ン タ ーフ ェ イ ス
°
JTAG イ ン タ ーフ ェ イ ス
PS と PL 間の最 も 高性能なデー タ 転送 イ ン タ ーフ ェ イ ス は、 高性能 AXI ポー ト お よ び ACP イ ン タ ーフ ェ イ ス の 2 つです。 高性能 AXI
ポー ト は、 PS と PL 間の高スループ ッ ト デー タ 転送に使用 さ れます。 コ ヒ ーレ ン シは、 必要に応 じ て ソ フ ト ウ ェ アで管理 さ れます。
CPU メ モ リ へのア ク セ ス でハー ド ウ ェ ア レベルの コ ヒ ーレ ン シが必要な場合は、 ACP ポー ト を使用 し て く だ さ い。
高性能 AXI ポー ト 高性能 AXI ポー ト は、PL か ら PS の DDR お よ び OCM へのア ク セ ス に利用で き ます。PL か ら PS への 4 つの専用 AXI メ モ リ ポー ト は、
32 ビ ッ ト ま たは 64 ビ ッ ト の イ ン タ ーフ ェ イ ス と し て コ ン フ ィ ギ ュ レーシ ョ ン可能です。 図 4 に示す と お り 、 こ れ ら の イ ン タ ーフ ェ イ
ス は FIFO コ ン ト ロ ー ラ ーを介 し て PL と メ モ リ イ ン タ ーコ ネ ク ト を接続 し てい ます。 3 つの出力ポー ト の う ち 2 つは DDR メ モ リ コ ン
ト ロ ー ラ ーへ接続 さ れ、 3 つ目のポー ト はデ ュ アル ポー ト のオンチ ッ プ メ モ リ (OCM) へ接続 さ れてい ます。
DS190 (v1.10) 2016 年 9 月 27 日
Product 製品仕様
japan.xilinx.com
12
Zynq‐7000 All Programmable SoC 概要
X-Ref Target - Figure 2
To DDR
Controller
From CPU System
256K
SRAM
Programmable
Logic to Memory
Interconnect
OCM
Interconnect
From Central
Interconnect
FIFO FIFO FIFO FIFO
Legend
Arrow direction shows control (master to slave)
data flows in both directions.
AXI 32bit/64bit, AXI 64bit
High-Performance AXI Ports
from Programmable Logic
DS190_03_031912
図 3 : PS メ モ リ サブ シ ス テム と の PL イ ン タ ー フ ェ イ ス
各高性能 AXI ポー ト の特長は次の と お り です。
•
PL と プ ロ セ ッ シ ン グ シ ス テ ム メ モ リ 間の レ イ テ ン シ を削減
•
深 さ 1KB の FIFO
•
32 ビ ッ ト ま たは 64 ビ ッ ト の AXI イ ン タ ーフ ェ イ ス と し て設定可能
•
読み出 し 受け入れ用に最大 32 ワ ー ド のバ ッ フ ァ ーをサポー ト
•
AXI イ ン タ ー コ ネ ク ト の帯域幅を よ り 効率的に使用する ため、 書き 込みア ク セ ス にデー タ リ リ ース制御機能をサポー ト
•
DDR お よ び OCM への複数の AXI コ マ ン ド 発行をサポー ト
ア ク セ ラ レー タ コ ヒ ーレ ン シ ポー ト (ACP)
ア ク セ ラ レー タ コ ヒ ーレ ン シ ポー ト (ACP) は、 64 ビ ッ ト の AXI ス レーブ イ ン タ ーフ ェ イ ス であ り 、 APU と PL 内のア ク セ ラ レー タ 機
能を接続 し ます。 ACP は、 PL を ARM Cortex-A9 プ ロ セ ッ サの ス ヌープ制御ユニ ッ ト (SCU) へ直接接続す る ため、 L1 お よ び L2 キ ャ ッ
シ ュ の CPU デー タ へ整合性の取れた ア ク セ ス が可能にな り ます。 ま た、 従来の方法でキ ャ ッ シ ュ を フ ラ ッ シ ュ ま たは ロ ー ド す る 場合
よ り も 低い レ イ テ ン シで PS と PL ベース のア ク セ ラ レー タ 間の転送が可能です。
プ ロ グ ラ マ ブル ロ ジ ッ ク (PL) の説明
PL の主な特長は次の と お り です。
•
•
CLB
°
1 つの CLB に 8 個の LUT があ り 、 ロ ジ ッ ク を イ ンプ リ メ ン ト する か分散 メ モ リ を構築可能
°
メ モ リ LUT は、 64 × 1 ま たは 32 × 2 ビ ッ ト の RAM と し て、 ま たはシ フ ト レ ジ ス タ (SRL) と し て使用可能
°
各 CLB に 16 個の フ リ ッ プ フ ロ ッ プ
°
演算用のカ ス ケー ド 接続可能な 4 ビ ッ ト 加算器が 2 個
36Kb ブ ロ ッ ク RAM
°
完全なデ ュ アル ポー ト
°
最大 36 ビ ッ ト 幅
°
2 つの 18Kb ブ ロ ッ ク RAM と し て構成可能
DS190 (v1.10) 2016 年 9 月 27 日
Product 製品仕様
japan.xilinx.com
13
Zynq‐7000 All Programmable SoC 概要
•
•
DSP ス ラ イ ス
°
18 × 25 符号付 き 乗算
°
48 ビ ッ ト 加算/累算器
プ ロ グ ラ マブル I/O ブ ロ ッ ク
°
LVCMOS、 LVDS、 SSTL な ど の一般的な I/O 規格をサポー ト
°
1.2V ~ 3.3V の /O
°
プ ロ グ ラ ム可能な I/O 遅延を内蔵
•
低電力シ リ アル ト ラ ン シーバー (一部のデバ イ ス のみ)
•
PCI Express 用のエン ド ポ イ ン ト /ルー ト ポー ト (PS へ接続し た場合はルー ト コ ンプ レ ッ ク ス) ブ ロ ッ ク を統合 (一部のデバ イ スのみ)
•
2 つの 12 ビ ッ ト XADC (アナ ロ グ-デジ タ ル コ ンバー タ ー )
•
°
オンチ ッ プ電圧お よ び温度管理
°
最大 17 チ ャ ネルの外部差動入力
PL コ ン フ ィ ギ ュ レーシ ョ ン モジ ュ ール
CLB、 ス ラ イ ス、 および LUT
CLB アーキ テ ク チ ャ の主な特長は次の と お り です。
•
完全な 6 入力 LUT
•
LUT 内の メ モ リ 機能
•
レ ジ ス タ お よ びシ フ ト レ ジ ス タ 機能
ル ッ ク ア ッ プ テーブル (LUT) は、出力が 1 つの 6 入力 LUT (64 ビ ッ ト ROM) と し て、 ま たは出力は別々でア ド レ ス ま たは ロ ジ ッ ク 入力
が共通の 2 つの 5 入力 LUT (32 ビ ッ ト ROM) と し て構成で き ます。 各 LUT 出力はオプシ ョ ン と し て フ リ ッ プ フ ロ ッ プで ラ ッ チで き ま
す。 こ の よ う な LUT が 4 つ、 それ ら の フ リ ッ プ フ ロ ッ プ 8 つ、 マルチプ レ ク サー、 そ し て演算キ ャ リ ー ロ ジ ッ ク が ス ラ イ ス を構成 し 、
2 つの ス ラ イ ス が CLB ( コ ン フ ィ ギ ャ ラ ブル ロ ジ ッ ク ブ ロ ッ ク ) を構成 し ます。 各ス ラ イ ス の 8 つの フ リ ッ プ フ ロ ッ プの う ち 4 つ (各
LUT か ら 1 つずつ) は、 ラ ッ チ と し て構成で き ます。
全ス ラ イ ス の 25 ~ 50% が LUT を 64 ビ ッ ト の分散 RAM と し て、 あ る いは 32 ビ ッ ト のシ フ ト レ ジ ス タ (SRL32) か 2 つの SRL16 と し
て使用で き ます。 最近の合成ツールでは、 こ の よ う な高効率の ロ ジ ッ ク 、 演算、 お よ び メ モ リ 機能を活か し た合成が実行 さ れます。
ク ロ ッ ク管理
ク ロ ッ ク マネージ メ ン ト アーキ テ ク チ ャ の主な特長は次の と お り です。
•
低ス キ ュ ーの ク ロ ッ ク 分配を実現す る 高速バ ッ フ ァ ーお よ び配線
•
周波数合成お よ び位相シ フ ト
•
低ジ ッ タ ーの ク ロ ッ ク 生成お よ びジ ッ タ ーの フ ィ ル タ リ ン グ
Zynq-7000 フ ァ ミ リ の各デバ イ ス には最大 8 個の CMT ( ク ロ ッ ク マネージ メ ン ト タ イ ル) が含まれ、 各 CMT は MMCM ( ミ ッ ク ス ド
モー ド ク ロ ッ ク マネージ ャ ー ) と PLL (位相 ロ ッ ク ループ) 1 つずつで構成 さ れてい ます。 詳細は、 表 5 を参照 し て く だ さ い。
DS190 (v1.10) 2016 年 9 月 27 日
Product 製品仕様
japan.xilinx.com
14
Zynq‐7000 All Programmable SoC 概要
表 5 : デバイ ス別の MMCM の数
Zynq デバイ ス
MMCM
PLL
XC7Z007S
2
2
XC7Z012S
3
3
XC7Z014S
4
4
XC7Z010
2
2
XC7Z015
3
3
XC7Z020
4
4
XC7Z030
5
5
XC7Z035
8
8
XC7Z045
8
8
XC7Z100
8
8
MMCM お よび PLL
MMCM と PLL には共通の特長が多数あ り ます。 こ れ ら は共に、 入力 ク ロ ッ ク の広範な周波数の合成回路お よ びジ ッ タ ー フ ィ ル タ ー と
し ての機能を提供 し ます。 こ れ ら の コ ン ポーネ ン ト の中心は、 PFD (位相周波数検出回路) か ら の入力電圧に従っ て、 それを高速化ま た
は低速化す る VCO (電圧制御オシ レー タ ー ) です。
さ ら に、 こ れ ら にはプ ロ グ ラ ム可能な 3 つの周波数分周回路 (D、 M、 O) があ り ます。 前置分周器 D ( コ ン フ ィ ギ ュ レーシ ョ ンお よ び
DRP を介 し てプ ロ グ ラ ム可能) は入力周波数を低減 さ せ、 従来の PLL 位相/周波数 コ ンパレー タ の入力 1 つを供給 し ます。 フ ィ ー ド
バ ッ ク 分周器 M ( コ ン フ ィ ギ ュ レーシ ョ ンお よ び DRP を介 し てプ ロ グ ラ ム可能) は、 位相コ ンパレー タ のその他の入力を供給する 前に
VCO 出力を分周す る ため、 乗算器 と し て機能 し ます。 D お よ び M は、 VCO が指定 さ れた周波数範囲内 と な る よ う に適切に選択す る 必
要があ り ます。 VCO には等分 さ れた 8 つの出力位相 °、 45°、 90°、 135°、 180°、 225°、 270°、 315°) があ り ます。 それぞれが出力分周器
の 1 つ (PLL の場合は O0 ~ O5 の 6 つ、 MMCM の場合は O0 ~ O6 の 7 つ) を駆動する よ う 選択で き ます。 こ れ ら の各分周器は、 1 ~
128 の任意の整数で分周す る よ う に コ ン フ ィ ギ ュ レーシ ョ ン でプ ロ グ ラ ム可能です。
MMCM お よ び PLL には入力ジ ッ タ ーの フ ィ ル タ ー モー ド と し て、 狭帯域モー ド (ジ ッ タ ーの削減を優先)、 広帯域モー ド (位相オ フ
セ ッ ト を優先)、 最適化モー ド (ツールで最適な設定を選択) の 3 つがあ り ます。
MMCM のその他のプ ログ ラ マ ブル機能
MMCM は、 フ ィ ー ド バ ッ ク パ ス (乗算器 と し て機能) ま たは出力パ ス の 1 つに分数カ ウ ン タ ーを持つ こ と がで き ます。 こ れ ら のカ ウ ン
タ ーは 1/8 と い う 整数以外の増分をサポー ト す る ため、 周波数を 8 の倍数で合成で き ます。
MMCM は、 小 さ な単位で増分 さ せ る 固定位相シ フ ト ま たは動作中に変更可能な位相シ フ ト も サポー ト し ます。 増分は VCO 周波数に
依存 し 、 た と えば 1,600 MHz では 11.2ps と な り ます。
ク ロ ッ ク 分配
Zynq-7000 フ ァ ミ リ の各デバ イ スは異な る 6 タ イ プの ク ロ ッ ク ラ イ ン (BUFG、 BUFR、 BUFIO、 BUFH、 BUFMR、 高性能 ク ロ ッ ク ) を
提供 し 、 大 き な フ ァ ン ア ウ ト 、 短い伝搬遅延、 非常に小 さ な ス キ ュ ーな ど の さ ま ざ ま な ク ロ ッ キ ン グ要件に対応 し ます。
グ ローバル ク ロ ッ ク ラ イ ン
各デバ イ ス が備え る 32 のグ ロ ーバル ク ロ ッ ク ラ イ ンは最大の フ ァ ン ア ウ ト を提供 し 、 全フ リ ッ プ フ ロ ッ プ ク ロ ッ ク 、 ク ロ ッ ク イ
ネーブル、 セ ッ ト / リ セ ッ ト 、 お よ び多数の ロ ジ ッ ク 入力に使用で き ます。 ク ロ ッ ク 領域には 12 のグ ロ ーバル ク ロ ッ ク ラ イ ンがあ り 、
並行 ラ イ ンの ク ロ ッ ク バ ッ フ ァ ー (BUFH) で駆動 さ れます。 こ れ ら の BUFH はそれぞれを独立 し て有効あ る いは無効にで き る こ と か
ら 、 あ る 領域内にあ る ク ロ ッ ク をオ フ にで き 、 こ れに よ っ て ク ロ ッ ク 領域の消費電力を細か く 制御で き る よ う にな り ます。 こ れ ら の ラ
イ ンはグ ロ ーバル ク ロ ッ ク バ ッ フ ァ ーで駆動で き る だけでな く 、 グ リ ッ チな し で ク ロ ッ ク を多重伝送 し た り 、 ク ロ ッ ク イ ネーブル と
し ての機能を果た し ます。 グ ロ ーバル ク ロ ッ ク は、 通常 CMT か ら 駆動 さ れ る ため、 基本的な ク ロ ッ ク 分散遅延が完全に削除 さ れま
す。
DS190 (v1.10) 2016 年 9 月 27 日
Product 製品仕様
japan.xilinx.com
15
Zynq‐7000 All Programmable SoC 概要
リ ージ ョ ナル ク ロ ッ ク
リ ージ ョ ナル ク ロ ッ ク は、 それがあ る 領域の全 ク ロ ッ ク を駆動で き ます。 領域は、 I/O 50 個分 と CLB 50 個分の高 さ 、 お よ びデバ イ ス
の半分の幅を持つ任意のエ リ ア と 定義 さ れます。 Zynq-7000 フ ァ ミ リ の各デバ イ ス には、 4 ~ 14 の領域があ り ます。 すべての領域に 4
つの リ ージ ョ ナル ク ロ ッ ク ト ラ ッ ク があ り ます。 各 リ ージ ョ ナル ク ロ ッ ク バ ッ フ ァ ーは、 4 つの CC ( ク ロ ッ ク 兼用) 入力ピ ンのいず
れかか ら 駆動で き 、 周波数はオプシ ョ ン と し て 1 ~ 8 の任意の整数で分周可能です。
I/O ク ロ ッ ク
I/O ク ロ ッ ク は非常に高速で、 I/O ロ ジ ッ ク お よ びシ リ ア ラ イ ザー /デシ リ ア ラ イ ザー (SerDes) 回路にのみ使用 し ます ( 「I/O ロ ジ ッ ク 」
参照)。 SoC には、 低ジ ッ タ ーで高性能な イ ン タ ーフ ェ イ ス用に MMCM か ら I/O への直接接続があ り ます。
ブ ロ ッ ク RAM
ブ ロ ッ ク RAM の主な特長は次の と お り です。
•
最大ポー ト 幅が 72 ビ ッ ト のデュ アル ポー ト 36Kb ブ ロ ッ ク RAM
•
プ ロ グ ラ ム可能な FIFO ロ ジ ッ ク
•
オプシ ョ ン と し て内蔵型エ ラ ー訂正回路
Zynq-7000 フ ァ ミ リ の各デバ イ スは最大で 755 のデ ュ アル ポー ト ブ ロ ッ ク RAM を備え、 それぞれが 36Kb を格納 し ます。 各ブ ロ ッ ク
RAM には、 格納 さ れたデー タ を共有す る 以外は完全に独立 し た 2 つのポー ト があ り ます。
同期動作
読み出 し ま たは書 き 込みの メ モ リ ア ク セ ス は、 ク ロ ッ ク に よ っ て制御 さ れます。 すべての入力、 デー タ 、 ア ド レ ス、 ク ロ ッ ク イ ネー
ブル、 書 き 込み イ ネーブルは レ ジ ス タ が付 き ます。 入力ア ド レ スは常に ク ロ ッ ク さ れ、 次の動作ま でデー タ を保持 し ます。 オプシ ョ ン
と し ての出力デー タ のパ イ プ ラ イ ン レ ジ ス タ は、 1 サ イ ク ル分の レ イ テ ン シが増加する 代わ り に、 よ り 高い ク ロ ッ ク レー ト での動作
を可能に し ます。
書き 込み動作中、 デー タ 出力は前に保存 さ れたデー タ ま たは新たに書 き 込まれたデー タ を反映 さ せ る か、 変更な し でその ま ま維持す る
こ と がで き ます。
プ ロ グ ラ ム可能なデー タ 幅
各ポー ト は 32K × 1、 16K × 2、 8K × 4、 4K × 9 (ま たは 8)、 2K × 18 (ま たは 16)、 1K × 36 (ま たは 32)、 512 × 72 (ま たは 64) のいずれかに
構成で き ます。 2 つのポー ト には別々の比率を指定で き 、 こ れに対す る 制限はあ り ません。
各ブ ロ ッ ク RAM は完全に独立 し た 2 つの 18Kb ブ ロ ッ ク RAM に分割で き 、 それぞれを 16K × 1 ~ 512 × 36 の任意のア スペ ク ト 比で構
成で き ます。 36Kb ブ ロ ッ ク RAM について説明 し た内容は、 分割 し た各 18Kb ブ ロ ッ ク RAM に も 当ては ま り ます。
シ ンプル デ ュ アル ポー ト (SDP) モー ド でのみ、 18 ビ ッ ト (18Kb RAM の場合) ま たは 36 ビ ッ ト (36Kb RAM の場合) 以上のデー タ 幅が
サポー ト さ れます。 こ のモー ド では、 一方のポー ト が読み出 し 専用、 も う 一方のポー ト が書 き 込み専用 と な り ます。 そ し て、 1 つ (読
み出 し ま たは書 き 込み) のデー タ 幅がプ ロ グ ラ ム可能で、 も う 1 つが 32/36 ま たは 64/72 に固定 さ れます。
デュ アル ポー ト 36Kb RAM の場合は両方の幅がプ ロ グ ラ ム可能です。
2 つの隣接 し た 36Kb ブ ロ ッ ク RAM を カ ス ケー ド 接続 し 、 追加 ロ ジ ッ ク な し で 64K × 1 のデ ュ アル ポー ト RAM と し て構成で き ます。
エ ラ ー検出お よび訂正機能
64 ビ ッ ト 幅のブ ロ ッ ク RAM は、 追加で 8 つのビ ッ ト のハ ミ ン グ コ ー ド ビ ッ ト を生成、 格納、 そ し て使用で き 、 読み出 し 中にシ ン グ
ル ビ ッ ト エ ラ ーの訂正、 ダブル ビ ッ ト エ ラ ーの検出 (ECC) を実行 し ます。 ECC ロ ジ ッ ク は 64 ~ 72 ビ ッ ト 幅の外部 メ モ リ への書 き 込
み、 ま たはその メ モ リ か ら の読み出 し に も 使用で き ます。
DS190 (v1.10) 2016 年 9 月 27 日
Product 製品仕様
japan.xilinx.com
16
Zynq‐7000 All Programmable SoC 概要
FIFO コ ン ト ロー ラ ー
シ ン グル ク ロ ッ ク (同期) ま たはデ ュ アル ク ロ ッ ク (非同期/マルチ レー ト ) 動作に対応す る 内蔵型の FIFO コ ン ト ロ ー ラ ーは、 内部ア ド
レ ス値を増分 さ せ、 Full、 Empty、 Almost Full、 Almost Empty の 4 つの フ ラ グ を提供 し ます。 Almost Full お よ び Almost Empty フ ラ グは
自由にプ ロ グ ラ ムで き ます。 ブ ロ ッ ク RAM と 同様に、 FIFO の幅お よ びワー ド 数はプ ロ グ ラ ム可能ですが、 書 き 込みポー ト と 読み出
し ポー ト の幅は常に同一です。
First-Word Fall-Through モー ド では、 最初の読み出 し 前で も 最初に書き 込まれた ワ ー ド がデー タ 出力に現れます。 そ し て、 最初の ワ ー
ド が読み出 さ れた後は、 通常モー ド と 同様に動作 し ます。
デジ タ ル信号処理 ‐ DSP ス ラ イ ス
DSP の主な特長は次の と お り です。
•
25 × 18 の 2 の補数乗算器/48 ビ ッ ト の高分解能ア キ ュ ム レー タ に よ る 信号処理
•
対称フ ィ ル タ ー アプ リ ケーシ ョ ンに最適化 さ れ、 消費電力を抑え る こ と が可能な前置加算器
•
その他の高度な機能 : パ イ プ ラ イ ン化オプシ ョ ン、 ALU オプシ ョ ン、 専用カ ス ケー ド 接続
DSP アプ リ ケーシ ョ ンは、 専用の DSP ス ラ イ ス に最適に実装 さ れた多数のバ イ ナ リ 乗算器お よ びア キ ュ ム レー タ を使用 し ます。
Zynq-7000 フ ァ ミ リ のデバ イ スは、 専用で完全にカ ス タ マ イ ズ さ れた低消費電力 DSP ス ラ イ ス を数多 く 装備 し 、 シ ス テ ム デザ イ ンの
柔軟性を維持 し なが ら 、 高速処理 と 小型化を実現 し てい ます。
各 DSP ス ラ イ ス は基本的に、専用の 25 × 18 ビ ッ ト 2 の補数乗算器お よ び 48 ビ ッ ト ア キ ュ ム レー タ で構成 さ れ、 こ れ ら は共に 741MHz
での動作を可能にす る 性能を持ち ます。 乗算器は動作中にバ イ パ ス で き 、 2 つの 48 ビ ッ ト 入力は SIMD (単一命令複数デー タ ) 演算ユ
ニ ッ ト (デ ュ アルの 24 ビ ッ ト 加算/減算/累算、 ま たは ク ワ ッ ド の 12 ビ ッ ト 加算/減算/累算)、 ま たはオペ ラ ン ド が 2 つの 10 個の異な る
ロ ジ ッ ク フ ァ ン ク シ ョ ンか ら 任意の 1 つを作成可能な ロ ジ ッ ク ユニ ッ ト に入力で き ます。
DSP には、 通常対称フ ィ ル タ ーに使用 さ れ る 前置加算器が追加 さ れてい ます。 こ の加算器に よ り 、 高密度に実装 さ れたデザ イ ンの性
能が向上 し 、 DSP ス ラ イ ス数が最大 50% 削減 さ れます。 ま た、 収束丸め (偶数丸め と も 呼ばれ る ) あ る いは対称丸めに使用で き る 48
ビ ッ ト 幅のパ タ ーン検出回路 も 備えてい ます。 パ タ ーン検出回路を ロ ジ ッ ク ユニ ッ ト と 併用する 場合には、 96 ビ ッ ト 幅の ロ ジ ッ ク
フ ァ ン ク シ ョ ンが実装可能です。
DSP ス ラ イ スは多数のパ イ プ ラ イ ンお よ び拡張性能を提供 し 、 デジ タ ル信号処理だけでな く その他多 く のアプ リ ケーシ ョ ンで速度 と
効率性を向上 さ せます。 こ の よ う な アプ リ ケーシ ョ ンには、 バ ス幅の広いダ イ ナ ミ ッ ク シ フ タ ー、 メ モ リ ア ド レ ス ジ ェ ネ レー タ ー、
多入力マルチプ レ ク サー、 メ モ リ マ ッ プ さ れた I/O レ ジ ス タ フ ァ イ ルが含まれます。 ま た、 ア キ ュ ム レー タ は同期のア ッ プ/ダ ウ ン カ
ウ ン タ ー と し て も 使用可能です。
入力/出力
PL 入力/出力の主な特長は次の と お り です。
•
最高 1866Mb/s の DDR3 イ ン タ ーフ ェ イ ス をサポー ト する 高性能 SelectIO™ テ ク ノ ロ ジ
•
シ グナル イ ン テ グ リ テ ィ を向上 さ せたパ ッ ケージに高周波数デカ ッ プ リ ン グ キ ャ パシ タ を搭載
•
低消費電力かつ高速な I/O 動作用に ト ラ イ ス テー ト にする こ と がで き る デジ タ ル制御 イ ン ピーダ ン ス
I/O ピ ン数は、 デバ イ スお よ びパ ッ ケージ サ イ ズに よ っ て異な り ます。 各 I/O ピ ンは コ ン フ ィ ギ ュ レーシ ョ ン可能で、 多数の規格に準
拠 し てい ます。 電源ピ ンお よ び一部の コ ン フ ィ ギ ュ レーシ ョ ン専用ピ ン を除 き 、 すべての PL ピ ンは同一の I/O 性能を持ち、 特定のバ
ン ク 規則に よ っ てのみ制約 さ れます。 Zynq-7000 お よ び Zynq-7000S デバ イ ス の SelectIO リ ソ ースは High Range (HR) ま たは High
Performance (HP) のいずれかに分類 さ れます。 HR I/O は、 1.2 ~ 3.3V ま での最 も 広範な I/O 電圧をサポー ト し ます。 HP I/O は最高性能
の動作向けに最適化 さ れてお り 、 1.2 ~ 1.8V の電圧をサポー ト し ます。
全 I/O ピ ンは、 各バン ク に 50 ピ ンずつ分割 さ れてい ます。 各バン ク には 1 つの共通 VCCO 出力電源があ り 、 こ れは特定の入力バ ッ
フ ァ ーに も 電源を供給 し ます。 一部のシ ン グルエン ド の入力バ ッ フ ァ ーには、 内部生成の、 あ る いは外部に基準電圧 (VREF) が必要で
す。 バン ク あ た り 2 つの VREF ピ ンがあ り ますが ( コ ン フ ィ ギ ュ レーシ ョ ン バン ク 0 は除 く )、 1 つのバン ク で使用で き る VREF 電圧値は 1 つのみです。
Zynq-7000 フ ァ ミ リ は、 最 も コ ス ト が低い小型フ ォーム フ ァ ク タ ーの ワ イ ヤボ ン ド パ ッ ケージ、 高性能な従来型の フ リ ッ プチ ッ プ
パ ッ ケージ、 小型フ ォーム フ ァ ク タ ー と 高性能のバ ラ ン ス を兼ね備え る リ ッ ド な し の フ リ ッ プチ ッ プ パ ッ ケージ ま で、 ユーザーの
ニーズに応え る 多様なパ ッ ケージで入手可能です。 フ リ ッ プチ ッ プ パ ッ ケージの場合、 シ リ コ ン デバ イ スは高度な フ リ ッ プチ ッ プ プ
ロ セ ス でパ ッ ケージ サブ ス ト レー ト に実装 さ れます。 ESR 調整キ ャ パシ タ がパ ッ ケージ上に分散 し て搭載 さ れてお り 、 こ れに よ っ て
同時ス イ ッ チン グ出力 (SSO) が生 じ る 条件下でのシ グナル イ ン テ グ リ テ ィ が最適化 さ れます。
DS190 (v1.10) 2016 年 9 月 27 日
Product 製品仕様
japan.xilinx.com
17
Zynq‐7000 All Programmable SoC 概要
I/O 電気特性
シ ン グルエン ド 出力は従来型の CMOS プ ッ シ ュ /プル出力構造を使用する も ので、 VCCO は High を、 グ ラ ン ド は Low を駆動 し 、 ハ イ
イ ン ピーダ ン ス状態 も 可能です。 シ ス テ ム設計者は スルー レー ト お よ び駆動能力を指定で き ます。 入力は常にア ク テ ィ ブですが、 出
力がア ク テ ィ ブの間は通常無視 さ れます。 ま た、 各ピ ンはオプシ ョ ン と し て、 弱いプルア ッ プ ま たはプルダ ウ ン抵抗を付け る こ と がで
き ます。
ほ と ん ど の信号ピ ン ペアが、 差動入力ペア ま たは出力ペア と し て コ ン フ ィ ギ ュ レーシ ョ ンで き ます。 さ ら に、 差動入力ペア を 100 の
内部抵抗で終端で き る オプシ ョ ン も あ り ます。 Zynq-7000 フ ァ ミ リ のすべてのデバ イ ス は LVDS 以外の差動規格 と し て
HT、 RSDS、 BLVDS、 差動 SSTL、 差動 HSTL をサポー ト し ます。
各 I/O は、 シ ン グルエン ド お よ び差動の HSTL、 SSTL な ど の メ モ リ I/O 規格をサポー ト し ます。 SSTL I/O 規格は、 デー タ レー ト が
1866Mb/s ま での DDR3 イ ン タ ーフ ェ イ ス アプ リ ケーシ ョ ン をサポー ト 可能です。
ト ラ イ ス テー ト 型デジ タ ル制御イ ン ピーダ ン スおよび低消費電力 I/O 機能
ト ラ イ ス テー ト 型デジ タ ル制御 イ ン ピーダ ン ス (T_DCI) は、 出力駆動 イ ン ピーダ ン ス (直列終端) を制御 し た り 、 あ る いは VCCO に対 し
て入力信号を並列終端、 VCCO/2 に対 し て分割 (テブナン) 終端を構成可能です。 T_DCI を使用 し た信号には、 オ フチ ッ プの終端は不要
です。 こ れはボー ド スペース を節約す る だけでな く 、 出力モー ド ま たは ト ラ イ ス テー ト の場合に終端が自動的にオ フ にな る ため、 オ
フチ ッ プ終端の消費電力 も 大幅に削減 さ れます。 さ ら に、 I/O の IBUF お よ び IDELAY には低電力モー ド があ り 、 特に メ モ リ イ ン タ ー
フ ェ イ ス の実装時に、 低消費電力化を図 る こ と がで き ます。
I/O ロ ジ ッ ク
入力および出力遅延
すべての入力お よ び出力は組み合わせ、 ま たは レ ジ ス タ 付 き と し て設定で き 、 ダブル デー タ レー ト (DDR) が全入力お よ び出力でサ
ポー ト さ れてい ます。 すべての入力お よ び一部の出力は、 それぞれを 78ps ま たは 52ps 単位で最大 32 タ ッ プ分個別に遅延 さ せ る こ と が
で き 、 こ の遅延は IDELAY お よ び ODELAY と し て イ ンプ リ メ ン ト さ れます。 遅延ス テ ッ プ数は コ ン フ ィ ギ ュ レーシ ョ ンで設定で き ま
すが、 使用中に も 増加ま たは減少 さ せ る こ と が可能です。
ISERDES および OSERDES
アプ リ ケーシ ョ ンの多 く は、 デバ イ ス内部で高速な ビ ッ ト シ リ アル I/O と よ り 低速なパ ラ レル動作を組み合わせます。 こ れには、 I/O
構造内にシ リ ア ラ イ ザーお よ びデシ リ ア ラ イ ザー (SerDes) が必要です。 各 I/O ピ ンには 8 ビ ッ ト の IOSERDES (ISERDES と OSERDES)
があ り 、 2、 3、 4、 5、 6、 7、 ま たは 8 ビ ッ ト の幅 (プ ロ グ ラ ム可能) でシ リ アルか ら パ ラ レル、 あ る いはパ ラ レルか ら シ リ アルへデー タ
を変換 し ます。 さ ら に、 2 つの隣接 し た ピ ン (通常は差動 I/O) か ら の IOSERDES を 1 つずつカ ス ケー ド 接続する こ と で、 10 お よ び 14
ビ ッ ト の幅の広い変換がサポー ト さ れます。 ISERDES には、 1.25Gb/s LVDS I/O ベース の SGMII イ ン タ ーフ ェ イ ス な ど のアプ リ ケー
シ ョ ン向けに非同期デー タ リ カバ リ をサポー ト す る 特別なオーバーサンプ リ ン グ モー ド があ り ます。
低電力シ リ アル ト ラ ン シーバー
Zynq-7000 フ ァ ミ リ の低電力シ リ アル ト ラ ン シーバーの主な特長は次の と お り です。
•
フ リ ッ プチ ッ プ パ ッ ケージで最大 12.5Gb/s、 リ ッ ド な し のフ リ ッ プチ ッ プ パ ッ ケージで最大 6.6Gb/s の ラ イ ン レー ト をサポー ト 高
性能 GTX ト ラ ン シーバー、 お よびワ イ ヤボン ド パ ッ ケージで最大 6.25Gb/s の ラ イ ン レー ト をサポー ト する GTP ト ラ ン シーバー
•
チ ッ プ間 イ ン タ ーフ ェ イ ス用に最適化 さ れた低電力モー ド
•
高性能な送信プ リ エン フ ァ シ スお よ びポ ス ト エン フ ァ シ ス、 受信 リ ニア イ コ ラ イ ザー (CTLE)、 お よ びマージ ン を増加 さ せ る ため
の適応等化回路を含む判定帰還等化 (DFE)
光モジ ュ ールへの超高速シ リ アル デー タ 転送は も と よ り 、 同一 PCB 上の IC 間、 バ ッ ク プ レーン経由、 あ る いは さ ら に長距離間の超高
速シ リ アル デー タ 転送が一般的にな っ てお り 、 こ れ ら はデー タ レー ト 200Gb/s のカ ス タ ム ラ イ ン カー ド を実現する 上で重要です。 こ
の よ う な転送には、 高デー タ レー ト でのシ グナル イ ン テ グ リ テ ィ の問題に対応す る 専用のオンチ ッ プ回路お よ び差動 I/O が必要 と な
り ます。
デバ イ ス に よ っ て 0 ~ 16 個の ト ラ ン シーバー回路が搭載 さ れてい ます。 各シ リ アル ト ラ ン シーバーは、 ト ラ ン ス ミ ッ タ ー と レ シー
バーの組み合わせで構成 さ れてい ます。 各デバ イ ス のシ リ アル ト ラ ン シーバーは、 リ ン グ オシ レー タ ー と LC タ ン ク アーキ テ ク チ ャ
の組み合わせを使用で き 、 デバ イ ス間の IP の移植性を維持 し なが ら 柔軟性 と 性能の最適なバ ラ ン ス を実現 し てい ます。 低速なデー タ
レー ト は、 ロ ジ ッ ク でのオーバーサンプ リ ン グに よ っ て実現可能です。 シ リ アル ト ラ ン ス ミ ッ タ ーお よ びレ シーバーは高度な PLL
アーキ テ ク チ ャ を使用す る 独立 し た回路で、基準周波数入力をプ ロ グ ラ ム可能な 4 ~ 25 の値で逓倍する こ と で ビ ッ ト シ リ アル デー タ
DS190 (v1.10) 2016 年 9 月 27 日
Product 製品仕様
japan.xilinx.com
18
Zynq‐7000 All Programmable SoC 概要
ク ロ ッ ク を生成 し ます。 ト ラ ン シーバーそれぞれに、 ユーザー定義可能な多数の機能お よ びパ ラ メ ー タ ーがあ り ます。 こ れ ら はすべて
コ ン フ ィ ギ ュ レーシ ョ ン中に定義で き 、 その多 く は動作中に も 変更で き ます。
ト ラ ンス ミ ッ ター
ト ラ ン ス ミ ッ タ ーは基本的に、 変換比率が 16、 20、 32、 40、 64、 ま たは 80 のパ ラ レル/シ リ アル コ ンバー タ ーです。 こ のため、 デー
タ パス幅 と タ イ ミ ン グ マージ ンの ト レー ド オ フ に よ っ て高性能が要求 さ れ る デザ イ ンに も 対応で き ます。 ト ラ ン ス ミ ッ タ ーの出力は、
シ ン グル チ ャ ネルの差動出力信号で PC ボー ド を駆動 し ます。 TXOUTCLK は適切に分周 さ れた シ リ アル デー タ ク ロ ッ ク で、 内部 ロ
ジ ッ ク か ら のパ ラ レル デー タ を直接 ラ ッ チす る ために使用で き ます。 入力 さ れ る パ ラ レル デー タ はオプシ ョ ンの FIFO を通 り 、 十分な
デー タ 遷移が生 じ る よ う ハー ド ウ ェ アでの 8B/10B、 64B/66B、 ま たは 64B/67B エン コ ー ド がサポー ト さ れてい ます。 ビ ッ ト シ リ アル
出力信号は、 差動信号に よ っ て 2 つのパ ッ ケージ ピ ン を駆動 し ます。 こ の出力信号ペアは、 信号振幅幅 と プ リ お よ びポ ス ト エン フ ァ
シ ス がプ ロ グ ラ ム可能で、 PC ボー ド での信号 ロ スやほかの イ ン タ ーコ ネ ク ト 特性を補い ます。 よ り 短いチ ャ ネルでは、 振幅幅を小 さ
く する こ と で低消費電力化が可能です。
レ シーバー
レ シーバーは基本的に、 入力ビ ッ ト シ リ アル差動信号を それぞれ 16、 20、 32、 40、 64、 ま たは 80 ビ ッ ト 幅のパ ラ レル ス ト リ ーム
ワー ド に変換す る シ リ アル/パ ラ レル コ ンバー タ ーです。 こ れに よ り 、 内部デー タ 幅 と さ ま ざ ま な ロ ジ ッ ク の タ イ ミ ン グ マージ ンのバ
ラ ン ス の取れた設計が可能にな り ます。 レ シーバーは入力差動デー タ ス ト リ ーム を受け取っ て、 それを (PC ボー ド やほかの イ ン タ ー
コ ネ ク ト 特性を補 う ため) プ ロ グ ラ ム可能な リ ニ ア イ コ ラ イ ザーお よ び DFE を介 し 、 基準 ク ロ ッ ク 入力を使用 し て ク ロ ッ ク の認識を
開始 し ます。 デー タ パ タ ーンは NRZ (Non-Return-to-Zero) エン コ ー ド を使用 し 、 オプシ ョ ン と し て選択 し たエン コ ー ド 方式を用い る こ
と で十分なデー タ 遷移が生 じ る よ う に し ます。 パ ラ レル デー タ は RXUSRCLK ク ロ ッ ク を使用 し て PL に転送 さ れます。 短いチ ャ ネル
の場合、 ト ラ ン シーバーを特別な低電力モー ド (LPM) で使用する こ と で、 消費電力を さ ら に削減で き ます。
Out‐of‐Band 信号
ト ラ ン シーバーは、 高速シ リ アル デー タ 転送がア ク テ ィ ブでない と き に、 ト ラ ン ス ミ ッ タ ーか ら レ シーバーへ低速の信号を転送する
ために よ く 使用 さ れ る Out-of-Band (OOB) 信号を提供 し ます。 通常、 リ ン ク がパ ワー ダ ウ ン ス テー ト にあ る か初期化 さ れていない場合
が こ れに該当 し 、 こ の機能は PCI Express お よ び SATA/SAS のアプ リ ケーシ ョ ンで有用です。
PCI Express デザイ ン用統合ブ ロ ッ ク
PCI Express 用統合ブ ロ ッ ク の主な特長は次の と お り です。
•
PCI Express Base Specification 2.1 に準拠 し 、 エン ド ポ イ ン ト と ルー ト ポー ト 機能に対応
•
Gen1 (2.5Gb/s) お よ び Gen2 (5Gb/s) をサポー ト
•
ア ド バン ス コ ン フ ィ ギ ュ レーシ ョ ン オプシ ョ ン、 ア ド バン ス エ ラ ー レ ポー ト (AER)、 お よ び End-to-End CRC (ECRC) のア ド バン
ス エ ラ ー レ ポー ト と ECRC 機能
ト ラ ン シーバーを備え る すべての Zynq-7000 フ ァ ミ リ デバ イ ス には、 PCI Express Base Specification Revision 2.1 ま たは 3.0 に準拠す る よ
う 設計 さ れ、 エン ド ポ イ ン ト ま たはルー ト ポー ト と し て コ ン フ ィ ギ ュ レーシ ョ ン可能な、 PCI Express 用統合ブ ロ ッ ク が 1 つ搭載 さ れ
てい ます。 ルー ト ポー ト は、 ルー ト コ ンプ レ ッ ク ス相当の機能を提供 し 、 PCI Express プ ロ ト コ ルを用いた Zynq-7000 AP SoC と その他
のデバ イ ス間のカ ス タ ム通信を可能にす る だけでな く 、 イ ーサネ ッ ト コ ン ト ロ ー ラ ーやフ ァ イ バー チ ャ ネル HBA な ど の ASSP エン ド
ポ イ ン ト デバ イ ス を Zynq-7000 All Programmable SoC に接続 し ます。
こ のブ ロ ッ ク はシ ス テ ム デザ イ ン要件に従 う よ う 高度に コ ン フ ィ ギ ュ レーシ ョ ン可能で、 2.5Gb/s お よ び 5.0Gb/s のデー タ レー ト で 1、
2、 4、 ま たは 8 レーンの動作をサポー ト し ます。 高性能アプ リ ケーシ ョ ン向けには、 ブ ロ ッ ク を高度にバ ッ フ ァ ーする こ と で、 1,024
バ イ ト ま での柔軟性に優れた最大ペ イ ロ ー ド サ イ ズ を提供 し ます。 ま た、 シ リ アル コ ネ ク テ ィ ビ テ ィ 用に統合 さ れた高速 ト ラ ン シー
バー と 、 デー タ バ ッ フ ァ ー用にはブ ロ ッ ク RAM と イ ン タ ーフ ェ イ ス し ます。 全体 と し て、 こ れ ら のエ レ メ ン ト は PCI Express プ ロ ト
コ ルの物理層、 デー タ リ ン ク 層、 そ し て ト ラ ンザ ク シ ョ ン層を イ ンプ リ メ ン ト し ます。
ザ イ リ ン ク ス は、 さ ま ざ ま な構築ブ ロ ッ ク (PCI Express 用統合ブ ロ ッ ク 、 ト ラ ン シーバー、 ブ ロ ッ ク RAM、 ク ロ ッ ク リ ソ ース) を エン
ド ポ イ ン ト ま たはルー ト ポー ト ソ リ ュ ーシ ョ ンに活用で き る よ う にす る 軽量、 コ ン フ ィ ギ ャ ラ ブル、 かつ簡単に使用で き る
LogiCORE™ IP ラ ッ パーを提供 し てい ます。 レーン幅、 最大ペ イ ロ ー ド サ イ ズ、 PL イ ン タ ーフ ェ イ ス速度、 基準 ク ロ ッ ク 周波数、
ベース ア ド レ ス レ ジ ス タ のデ コ ー ド と フ ィ ル タ リ ン グ な ど、 数多 く のパ ラ メ ー タ ーを シ ス テ ム設計者が制御で き ます。
ザ イ リ ン ク ス は、 メ モ リ マ ッ プ さ れた AXI4 の統合ブ ロ ッ ク 用 ラ ッ パーを提供 し てい ます。 メ モ リ マ ッ プ さ れた AXI4 はザ イ リ ン ク ス
Platform Studio/EDK デザ イ ン フ ロ ーお よ び MicroBlaze™ プ ロ セ ッ サ ベース のデザ イ ン用に提供 さ れてい る も のです。
PCI Express デザ イ ンの ソ リ ュ ーシ ョ ンに関す る 資料お よ び詳細は、 http://japan.xilinx.com/technology/protocols/pciexpress.htm か ら 入手で
き ます。
DS190 (v1.10) 2016 年 9 月 27 日
Product 製品仕様
japan.xilinx.com
19
Zynq‐7000 All Programmable SoC 概要
XADC (Analog‐to‐Digital Converter)
XADC アーキ テ ク チ ャ の主な特長は次の と お り です。
•
2 個の 12 ビ ッ ト 、 1MSPS のアナ ロ グ-デジ タ ル コ ンバー タ ー (ADC)
•
最大 17 個の柔軟でユーザー コ ン フ ィ ギ ュ レーシ ョ ン可能なアナ ロ グ入力
•
オンチ ッ プ リ フ ァ レ ン ス ま たは外部 リ フ ァ レ ン ス を選択可能
•
オンチ ッ プ終端お よ び電源セ ンサー
•
JTAG を介 し て連続的に ADC の計測結果にア ク セ ス
Zynq-7000 フ ァ ミ リ のすべてのデバ イ スは、 XADC と 呼ばれ る 、 柔軟性に優れた新 し いアナ ロ グ イ ン タ ーフ ェ イ ス を備えてい ます。 プ
ロ グ ラ マブル ロ ジ ッ ク の性能 と 組み合わせ る こ と に よ り 、 XADC はデー タ 取得 と 計測に関す る 幅広い要件に対応で き ます。 アナ ロ グ
機能 と プ ロ グ ラ マブル ロ ジ ッ ク を組み合わせた こ の独自の ソ リ ュ ーシ ョ ンは、 アナ ロ グ ミ ッ ク ス ド シ グナル と 呼ばれます。 詳細は、
http://japan.xilinx.com/ams を参照 し て く だ さ い。
XADC には専用の ト ラ ッ ク お よ びホール ド ア ンプ を持つ 12 ビ ッ ト で 1MSPS の ADC が 2 つ と 、 1 つのオンチ ッ プ アナ ロ グ マルチプ レ
ク サー (最大 17 の外部アナ ロ グ入力チ ャ ネルをサポー ト )、 オンチ ッ プ温度/電源電圧セ ンサーが含まれます。 2 つの ADC は、 2 つの外
部アナ ロ グ入力チ ャ ネルを同時にサンプルす る よ う に構成で き ます。 ト ラ ッ ク お よ びホール ド ア ンプは、 単極、 双極、 差動の幅広い
アナ ロ グ入力をサポー ト し ます。 アナ ロ グ入力は、 1MSPS のサンプル レー ト で 500KHz 以上の信号帯域幅をサポー ト し ます。 専用の
アナ ロ グ入力を用いて外部アナ ロ グ マルチプ レ ク サー モー ド を使用す る と 、 さ ら に広いアナ ロ グ帯域幅をサポー ト で き ます ( 『7 シ
リ ーズ FPGA お よ び Zynq-7000 All Programmable SoC XADC デ ュ アル 12 ビ ッ ト 1MSPS アナ ロ グ-デジ タ ル コ ンバー タ ー ユーザー ガ イ
ド 』 (UG480) 参照)。
XADC は、 オプシ ョ ン と し てオンチ ッ プの基準回路 (±1%) を使用す る ため、 温度や電源レールの基本的なオンチ ッ プ モニ タ リ ン グ用
に外部にア ク テ ィ ブな コ ン ポーネ ン ト は必要あ り ません。 ADC の 12 ビ ッ ト の性能を十分に発揮 さ せる には、 外部に 1.25V の リ フ ァ レ
ン ス IC を使用す る こ と を推奨 し ます。
XADC をデザ イ ンに イ ン ス タ ン シエー ト し ない場合のデフ ォ ル ト では、 XADC はすべてのオンチ ッ プ セ ンサーの出力をデジ タ ル化 し
ます。 最 も 新 し い計測結果は、 最大お よ び最小の測定結果 と 共に専用の レ ジ ス タ に格納 さ れ、 JTAG イ ン タ ーフ ェ イ ス を介 し て常時ア
ク セ ス で き ます。 ま た、 ユーザー定義のア ラ ーム し き い値に よ っ て超過温度 イ ベン ト お よ び許容外の温度変動を自動的に知 ら せ る こ と
がで き 、 ユーザーが指定 し た制限値 (100℃ な ど) を用いて自動的に電源を切断する よ う に も で き ます。
シ ス テム レ ベルの機能
次の機能は、 PS お よ び PL の両範囲で担われてい ます。
•
リ セ ッ ト 管理
•
ク ロ ッ ク 管理
•
デバ イ ス コ ン フ ィ ギ ュ レーシ ョ ン
•
ハー ド ウ ェ ア/ ソ フ ト ウ ェ アのデバ ッ グ サポー ト
•
パ ワー マネージ メ ン ト
リ セ ッ ト 管理
リ セ ッ ト 管理機能を使用す る と 、 デバ イ ス全体ま たはデバ イ ス内のユニ ッ ト を個別に リ セ ッ ト で き ます。 PS は次の リ セ ッ ト 機能お よ
び リ セ ッ ト 信号をサポー ト し てい ます。
•
外部お よ び内部のパ ワーオン リ セ ッ ト 信号
•
ウ ォーム リ セ ッ ト
•
ウ ォ ッ チ ド ッ ク タ イ マー リ セ ッ ト
•
PL のユーザー リ セ ッ ト
•
ソ フ ト ウ ェ ア、 ウ ォ ッ チ ド ッ ク タ イ マー、 ま たは JTAG に よ る リ セ ッ ト
•
セキ ュ リ テ ィ 違反に よ る リ セ ッ ト ( ロ ッ ク ダ ウ ン リ セ ッ ト )
DS190 (v1.10) 2016 年 9 月 27 日
Product 製品仕様
japan.xilinx.com
20
Zynq‐7000 All Programmable SoC 概要
ク ロ ッ ク管理
Zynq-7000 フ ァ ミ リ の PS には、 5 つの位相 ロ ッ ク ループ (PLL) があ り 、 PS 内で ク ロ ッ ク ド メ イ ン を柔軟に設定で き る よ う にな っ てい
ます。 PS 内には 3 つの主要 ク ロ ッ ク ド メ イ ンがあ り 、 こ れ ら には APU、 DDR コ ン ト ロ ー ラ ー、 I/O ペ リ フ ェ ラ ル (IOP) が含まれます。
こ れ ら すべての ド メ イ ンの周波数は ソ フ ト ウ ェ アで個別に設定で き ます。
PS ブー ト およびデバイ ス コ ン フ ィ ギ ュ レーシ ョ ン
Zynq-7000 お よ び Zynq-7000S デバ イ ス は複数ス テージのブー ト プ ロ セ ス を使用 し 、 非セキ ュ ア ブー ト お よ びセキ ュ ア ブー ト をサポー
ト し てい ます。 PS は、 ブー ト プ ロ セ ス と コ ン フ ィ ギ ュ レーシ ョ ン プ ロ セ ス のマ ス タ ー と な り ます。 セキ ュ ア ブー ト の場合は、 PL 内
にあ る セキ ュ リ テ ィ ブ ロ ッ ク の使用を有効にす る ために PL に電源を投入す る 必要があ り ます。 こ のブ ロ ッ ク に よ っ て 256 ビ ッ ト の
AES お よ び SHA 復号/認証が提供 さ れます。
リ セ ッ ト 時にデバ イ ス モー ド ピ ンが読み出 さ れて、 使用 さ れ る プ ラ イ マ リ ブー ト デバ イ ス(NOR、 NAND、 ク ワ ッ ド SPI、 SD ま たは
JTAG) が判断 さ れます。 JTAG は非セキ ュ ア ブー ト ソ ース と し てのみ使用可能で、 デバ ッ グ を目的 と し てい ます。 いずれか一方の
ARM Cortex-A9 CPU がオンチ ッ プ ROM か ら の コ ー ド を実行 し 、 ブー ト デバ イ ス か ら OCM へ FSBL (第 1 段階ブー ト ロ ーダー ) を コ
ピー し ます。
FSBL が OCM へ コ ピー さ れ る と 、 プ ロ セ ッ サが FSBL を実行 し ます。 ザ イ リ ン ク ス はサンプル FSBL を提供 し てい ますが、 ユーザーが
独自の FSBL を作成す る こ と も 可能です。 FSBL に よ っ て PS のブー ト が開始 し 、 PL の ロ ー ド ま たは コ ン フ ィ ギ ュ レーシ ョ ン を実行で
き る よ う にな り ます。 PL コ ン フ ィ ギ ュ レーシ ョ ンは、 後に実行す る こ と も で き ます。 FSBL は通常、 ユーザー アプ リ ケーシ ョ ン を
ロ ー ド する か、 オプシ ョ ン と し て U-Boot な ど の SSBL (第 2 段階ブー ト ロ ーダー ) を ロ ー ド し ます。 SSBL はザ イ リ ン ク ス ま たはサー ド
パーテ ィ か ら 入手で き ますが、 独自の も のを作成す る こ と も 可能です。 SSBL は、 いずれかのプ ラ イ マ リ ブー ト デバ イ ス、 ま たは
USB、 イ ーサネ ッ ト な ど その他の ソ ース か ら コ ー ド を ロ ー ド す る こ と でブー ト プ ロ セ ス を継続 し ます。 FSBL で PL を コ ン フ ィ ギ ュ
レー ト し なか っ た場合は SSBL でそれを行 う こ と がで き ますが、 こ こ で も 先延ば し に し てお く こ と がで き ます。
ス タ テ ィ ッ ク メ モ リ イ ン タ ーフ ェ イ ス コ ン ト ロ ー ラ ー (NAND、 NOR、 ま たは ク ワ ッ ド SPI) は、 デフ ォ ル ト 設定で コ ン フ ィ ギ ュ レー
ト さ れます。 デバ イ ス の コ ン フ ィ ギ ュ レーシ ョ ン速度を上げ る ために、 ブー ト イ メ ージ ヘ ッ ダーにあ る 情報で こ れ ら の設定を変更可
能です。 ブー ト 後に ROM のブー ト イ メ ージ を ユーザーが読み出し た り 、 呼び出す こ と はで き ません。
ハー ド ウ ェ アおよび ソ フ ト ウ ェ アのデバ ッ グ サポー ト
Zynq-7000 フ ァ ミ リ で使用 さ れ る デバ ッ グ シ ス テ ムは、 ARM 社の CoreSight アーキ テ ク チ ャ に基づいてい ます。 こ のシ ス テ ムは、 エン
ベデ ッ ド ト レース バ ッ フ ァ ー (ETB)、 プ ロ グ ラ ム ト レース マ ク ロ セル (PTM)、 お よ び イ ン ス ツル メ ン ト ト レース マ ク ロ セル (ITM) な
ど を含む ARM CoreSight コ ン ポーネ ン ト を使用 し ます。 こ れは命令 ト レース機能のほかに、 ハー ド ウ ェ ア ブ レー ク ポ イ ン ト や ト リ
ガー も サポー ト し ます。 プ ロ グ ラ マブル ロ ジ ッ ク は、 統合 さ れた ロ ジ ッ ク アナ ラ イ ザーでデバ ッ グで き ます。
デバ ッ グ ポー ト
JTAG ポー ト は 2 つあ り 、 チ ェーン接続 し て使用す る か個別に使用で き ます。 チ ェーン接続 し た場合には、 1 つのポー ト を使用 し て、
ARM プ ロ セ ッ サ コ ー ド のダ ウ ン ロ ー ド や ラ ン タ イ ム制御動作、 PL コ ン フ ィ ギ ュ レーシ ョ ン、 お よ び ChipScope Pro™ に組み込まれた
ロ ジ ッ ク アナ ラ イ ザーを使用す る PL デバ ッ グが可能です。 こ れに よ り 、 ザ イ リ ン ク ス の ソ フ ト ウ ェ ア開発キ ッ ト (SDK) や ChipScope
Pro アナ ラ イ ザーな ど の ツールがザ イ リ ン ク ス が提供する 1 つのダ ウ ン ロ ー ド ケーブルを共有で き ます。
JTAG チ ェ ーンがわかれてい る 場合、 一方のポー ト は ARM DAP イ ン タ ーフ ェ イ スへのダ イ レ ク ト ア ク セ ス な ど の PS サポー ト 用に使
用 さ れます。 CoreSight イ ン タ ーフ ェ イ ス に よ っ て、 ARM 準拠のデバ ッ グ ツールや Development Studio 5 (DS-5™) な ど の ソ フ ト ウ ェ ア
開発ツールが使用可能にな り ます。 も う 一方の JTAG ポー ト は、 コ ン フ ィ ギ ュ レーシ ョ ン ビ ッ ト ス ト リ ームのダ ウ ン ロ ー ド や統合 さ れ
た ロ ジ ッ ク アナ ラ イ ザーを使用 し たデバ ッ グ な ど、 ザ イ リ ン ク ス FPGA ツールに よ っ て PL ア ク セ スす る ために使用 さ れます。 こ の
モー ド の場合、 ユーザーは ス タ ン ド ア ロ ン FPGA と 同 じ 方法でダ ウ ン ロ ー ド お よ び PL のデバ ッ グが可能です。
DS190 (v1.10) 2016 年 9 月 27 日
Product 製品仕様
japan.xilinx.com
21
Zynq‐7000 All Programmable SoC 概要
パワー マネージ メ ン ト
PS と PL は異な る 電源プ レーン上にあ り ます。 こ のため、 それぞれ専用の電源ピ ン を備えた別々の電源レールへ接続で き ます。 PL の
パ ワーオ フ モー ド が不要な場合は、 PS と PL の電源レールを結合で き ます。 PS がパ ワーオ フ モー ド の間、 PL は永続的な リ セ ッ ト 状態
に保持 さ れます。 PL の電力制御は PL への外部ピ ン を介 し て実行 さ れます。 電力は外部のパ ワー マネージ メ ン ト 回路を使用 し て制御
さ れ、 こ の回路は ソ フ ト ウ ェ ア と PS GPIO で制御で き ます。
電力モー ド
Zynq-7000 フ ァ ミ リ で使用で き る 省電力モー ド には次があ り ます。
•
プ ロ グ ラ マブル ロ ジ ッ ク パ ワー オ フ (ス リ ープ)
°
•
PS ク ロ ッ ク 制御
°
•
PS と PL は異な る 電源プ レーン上にあ る ため、 PL の電源がオ フ の状態で も PS は動作可能です。 セキ ュ リ テ ィ 上の理由か ら 、
PL の電源は PS よ り 先に投入で き ません。 PL は電源投入のたびに リ コ ン フ ィ ギ ュ レーシ ョ ンが必要です。 こ の省電力モー ド
を使用す る 場合、 PL の コ ン フ ィ ギ ュ レーシ ョ ン時間を考慮 し なければな り ません。
内部 PLL を使用す る と 、 PS の動作 ク ロ ッ ク レー ト を 30MHz ま で下げ る こ と がで き ます。 ク ロ ッ ク レー ト は動的に変更可能
です。 動作中に ク ロ ッ ク レー ト を変更す る 場合は、 PS ク ロ ッ ク 制御レ ジ ス タ ま たは ク ロ ッ ク 生成制御レ ジ ス タ へア ク セ スす
る ために、 シ ス テ ム制御レ ジ ス タ の ロ ッ ク を解除す る 必要があ り ます。
シ ン グル プ ロ セ ッ サ モー ド
°
こ のモー ド では、 ク ロ ッ ク ゲーテ ィ ン グ機能を使用 し て 2 つ目の Cortex-A9 CPU をオ フ に し 、 1 つ目の CPU を フル稼働 さ せ
ます。
電力例
Zynq-7000 フ ァ ミ リ デバ イ ス の消費電力は、 PL リ ソ ース の使用率お よ び PS と PL の動作周波数に よ っ て異な り ます。 消費電力の概算
には、 http://japan.xilinx.com/products/design_tools/logic_design/xpe.htm か ら ダ ウ ン ロ ー ド 可能な Xilinx Power Estimator (XPE) を使用 し て く
だ さ い。
メ モ リ マ ッ プ
Zynq-7000 フ ァ ミ リ デバ イ ス は、 表 6 に示す よ う な構成の 4GB ア ド レ ス空間をサポー ト し てい ます。
表 6 : メ モ リ マ ッ プ
開始ア ド レ ス
サイ ズ (MB)
0x0000_0000
1,024
DDR DRAM お よ びオンチ ッ プ メ モ リ (OCM)
0x4000_0000
1,024
PL AXI ス レーブ ポー ト #0
0x8000_0000
1,024
PL AXI ス レーブ ポー ト #1
0xE000_0000
256
IOP デバ イ ス
0xF000_0000
128
予約
0xF800_0000
32
AMBA APB バ ス経由のプ ロ グ ラ ム可能な レ ジ ス タ ア ク セ ス
0xFA00_0000
32
予約
0xFC00_0000
64MB ~ 256KB
0xFFFC_0000
256KB
DS190 (v1.10) 2016 年 9 月 27 日
Product 製品仕様
説明
ク ワ ッ ド SPI リ ニ ア ア ド レ ス のベース ア ド レ ス (OCM の最高
位 256KB を除 く )、 64MB 予約領域、 現時点では 32MB のみサ
ポー ト
高位ア ド レ ス空間へマ ッ プ さ れ る 場合は OCM
japan.xilinx.com
22
Zynq‐7000 All Programmable SoC 概要
注文情報
表 7 に、 こ の FPGA で提供 さ れてい る ス ピー ド グ レー ド お よ び温度グ レー ド を示 し ます。 一部のデバ イ ス では、 入手可能な ス ピー ド
グ レー ド と 温度グ レー ド に制限があ り ます。
表 7 : ス ピー ド グ レー ド と 温度範囲
ス ピー ド グレー ド と ジ ャ ン ク シ ョ ン温度範囲
デバイ ス
コ マーシ ャル (C)
0°C ~ +85°C
拡張 (E)
0°C ~ +100°C イ ン ダス ト リ アル (I)
‐40°C ~ +100°C
-1
-2
-1、 -2
-1
-2、 -3
-1、 -2、 -1L
-1
-2、 -3
-1、 -2、 -2L
-1
-2
-1、 -2、 -2L
XC7Z007S
XC7Z012S
XC7Z014S
XC7Z010
XC7Z015
XC7Z020
XC7Z030
XC7Z035
XC7Z045
XC7Z100
図 4 に示す注文情報は、 鉛フ リ ー パ ッ ケージ を含むすべてのパ ッ ケージに適用 さ れます。
こ の文書に示す一部の注文情報はシ リ コ ンの注文が可能にな る 前に提供 さ れ る プ レ リ リ ース版です。 Zynq-7000 フ ァ ミ リ アー リ ー ア
ク セ ス プ ロ グ ラ ムの詳細は、 ザ イ リ ン ク ス の販売代理店ま でお問い合わせ く だ さ い。
X-Ref Target - Figure 4
Example:
XC7Z014 S-2CLG484C
Temperature Range
C = Commercial (Tj = 0°C to +85°C)
I = Industrial (Tj = –40°C to +100°C)
E = Extended (Tj = 0°C to +100°C)
Part Number
Single Core
Indicator(1)
Speed Grade
(-3, -2, -1, -1L(2), -2L(3))
1) Available in Z-7007S, Z-7012S, and Z-7014S devices
2) -L1 is the ordering code for the lower power, -1L speed grade.
3) -L2 is the ordering code for the lower power, -2L speed grade.
Number of Pins
Package Type
Pb-Free
V = RoHS 6/6
G (CLG) = RoHS 6/6
G (SBG, FBG, FFG) = RoHS 6/6 with Exemption 15
DS190_04_091916
図 4 : 注文情報
DS190 (v1.10) 2016 年 9 月 27 日
Product 製品仕様
japan.xilinx.com
23
Zynq‐7000 All Programmable SoC 概要
改訂履歴
次の表に、 こ の文書の改訂履歴を示 し ます。
日付
バージ ョ ン
内容
2012 年 3 月 23 日
1.0
初版
2012 年 5 月 8 日
1.1
表 2 お よ び表 4 を更新。
2012 年 6 月 11 日
1.1.1
2012 年 8 月 21 日
1.2
「ARM Cortex-A9 ベース の アプ リ ケーシ ョ ン プ ロ セ ッ サ ユニ ッ ト (APU)」、 「I/O ペ リ フ ェ ラ ルお
よ び イ ン タ ーフ ェ イ ス」、 「2 つの 12 ビ ッ ト A/D コ ンバー タ ー (ADC)」、 表 1、 表 2、 「アプ リ ケー
シ ョ ン プ ロ セ ッ サ ユニ ッ ト (APU)」、 「I/O ペ リ フ ェ ラ ル (IOP)」、 「PS の外部 イ ン タ ーフ ェ イ ス」、
「MIO の概要」、 表 4、 「プ ロ グ ラ マブル ロ ジ ッ ク (PL) の説明」、 お よ び 「PS ブー ト お よ びデバ イ
ス コ ン フ ィ ギ ュ レーシ ョ ン」 を更新。
2013 年 3 月 15 日
1.3
表 1、 表 2 (FFG1156 パ ッ ケージ を含む)、 お よ び 「アプ リ ケーシ ョ ン プ ロ セ ッ サ ユニ ッ ト
(APU)」 に XC7Z100 デバ イ ス の情報を追加。 表 4 お よ び 「 ク ロ ッ ク 管理」 を更新。 表 5 を追加。
「ブ ロ ッ ク RAM」 を更新。 表 5 (電力例) を削除。
2013 年 8 月 6 日
1.4
表 1 と 「アプ リ ケーシ ョ ン プ ロ セ ッ サ ユニ ッ ト (APU)」 に記載のプ ロ セ ッ サ周波数を更新。
表 4 を更新。
2013 年 9 月 3 日
1.5
表 1、 表 2 (注記 も 更新)、 表 3 (CLG485 を含む)、 表 5、 お よ び 「アプ リ ケーシ ョ ン プ ロ セ ッ サ
ユニ ッ ト (APU)」 に XC7Z015 デバ イ ス情報を追加。 表 2 に、 XC7Z030 デバ イ ス の SBG485 パ ッ
ケージ を追加。 「低電力シ リ アル ト ラ ン シーバー」、 「XADC (Analog-to-Digital Converter)」、 お よ
び 「 リ ージ ョ ナル ク ロ ッ ク 」 を更新。
2013 年 12 月 2 日
1.6
表 2 を更新。
2014 年 10 月 8 日
1.7
表 1、 表 2、 表 3、 表 5 に XC7Z035 デバ イ ス を追加。 「アプ リ ケーシ ョ ン プ ロ セ ッ サ ユニ ッ ト
(APU)」、 「I/O ペ リ フ ェ ラ ル (IOP)」、 「 ク ロ ッ ク 管理」、 図 4 を更新。
2015 年 5 月 21 日
1.8
Preliminary 製品仕様か ら Production 製品仕様に変更。 表 1、 表 2、 表 3、 お よ び図 4 を更新。
2016 年 1 月 20 日
1.9
表 2、 表 3、 お よ び 「 リ ージ ョ ナル ク ロ ッ ク 」 を更新。
2016 年 9 月 27 日
1.10
表 1、 表 2、 図 1、 「アプ リ ケーシ ョ ン プ ロ セ ッ サ ユニ ッ ト (APU)」、 表 5、 「ブ ロ ッ ク RAM」、
図 4 お よ び 「自動車用のアプ リ ケーシ ョ ンの免責条項」 を更新。
誤植の修正。
免責事項
本通知に基づいて貴殿ま たは貴社 (本通知の被通知者が個人の場合には 「貴殿」、 法人その他の団体の場合には 「貴社」。 以下同 じ ) に開示 さ れ る 情報 (
以下 「本情報」 と いい ます) は、 ザ イ リ ン ク ス の製品を選択お よ び使用す る こ と のためにのみ提供 さ れます。 適用 さ れ る 法律が許容する 最大限の範囲
で、 (1) 本情報は 「現状有姿」、 お よ びすべて受領者の責任で (with all faults) と い う 状態で提供 さ れ、 ザ イ リ ン ク ス は、 本通知を も っ て、 明示、 黙示、 法
定を問わず (商品性、 非侵害、 特定目的適合性の保証を含みますが こ れ ら に限 ら れません)、 すべての保証お よ び条件を負わない (否認す る ) も の と し ま
す。 ま た、 (2) ザ イ リ ン ク ス は、 本情報 (貴殿ま たは貴社に よ る 本情報の使用を含む) に関係 し 、 起因 し 、 関連す る 、 いかな る 種類 ・ 性質の損失ま たは損
害について も 、 責任を負わない (契約上、 不法行為上 (過失の場合を含む)、 その他のいかな る 責任の法理に よ る かを問わない) も の と し 、 当該損失ま た
は損害には、 直接、 間接、 特別、 付随的、 結果的な損失ま たは損害 (第三者が起 こ し た行為の結果被っ た、 デー タ 、 利益、 業務上の信用の損失、 その
他あ ら ゆ る 種類の損失や損害を含みます) が含ま れ る も の と し 、 それは、 た と え当該損害や損失が合理的に予見可能であ っ た り 、 ザ イ リ ン ク ス がそれ
ら の可能性について助言を受けていた場合であ っ た と し て も 同様です。 ザ イ リ ン ク ス は、 本情報に含ま れ る いかな る 誤 り も 訂正す る 義務を負わず、 本
情報ま たは製品仕様のア ッ プデー ト を貴殿ま たは貴社に知 ら せ る 義務 も 負い ません。 事前の書面に よ る 同意のない限 り 、 貴殿ま たは貴社は本情報を再
生産、 変更、 頒布、 ま たは公に展示 し てはな り ません。 一定の製品は、 ザ イ リ ン ク ス の限定的保証の諸条件に従 う こ と と な る ので、
http://japan.xilinx.com/legal.htm#tos で見 ら れ る ザ イ リ ン ク ス の販売条件を参照 し て く だ さ い。 IP コ アは、 ザ イ リ ン ク ス が貴殿ま たは貴社に付与 し た ラ イ
セ ン ス に含ま れ る 保証 と 補助的条件に従 う こ と にな り ます。 ザ イ リ ン ク ス の製品は、 フ ェ イ ルセーフ と し て、 ま たは、 フ ェ イ ルセーフ の動作を要求す
る アプ リ ケーシ ョ ンに使用す る ために、 設計 さ れた り 意図 さ れた り し てい ません。 その よ う な重大な アプ リ ケーシ ョ ンにザ イ リ ン ク ス の製品を使用す
る 場合の リ ス ク と 責任は、 貴殿ま たは貴社が単独で負 う も のです。 http://japan.xilinx.com/ legal.htm#tos で見 ら れ る ザ イ リ ン ク ス の販売条件を参照 し て く
だ さ い。
DS190 (v1.10) 2016 年 9 月 27 日
Product 製品仕様
japan.xilinx.com
24
Zynq‐7000 All Programmable SoC 概要
自動車用のア プ リ ケーシ ョ ンの免責条項
オー ト モーテ ィ ブ製品 (製品番号に 「XA」 が含ま れ る ) は、 ISO 26262 自動車用機能安全規格に従っ た安全 コ ン セプ ト ま たは余剰性の機能 ( 「セーフ
テ ィ 設計」 ) がない限 り 、 エアバ ッ グの展開におけ る 使用ま たは車両の制御に影響す る アプ リ ケーシ ョ ン ( 「セーフ テ ィ アプ リ ケーシ ョ ン」 ) におけ る
使用は保証 さ れてい ません。 顧客は、 製品を組み込むすべてのシ ス テ ムについて、 その使用前ま たは提供前に安全を目的 と し て十分なテ ス ト を行 う も
の と し ます。 セーフ テ ィ 設計な し にセーフ テ ィ アプ リ ケーシ ョ ンで製品を使用す る リ ス ク はすべて顧客が負い、 製品責任の制限を規定す る 適用法令お
よ び規則にのみ従 う も の と し ます。
こ の資料に関する フ ィ ー ド バ ッ ク お よ び リ ン ク な ど の問題につ き ま し ては、 [email protected] ま で、 ま たは各ページの右下にあ る [フ ィ ー
ド バ ッ ク 送信] ボ タ ン を ク リ ッ ク す る と 表示 さ れ る フ ォ ームか ら お知 ら せ く だ さ い。 いただ き ま し た ご意見を参考に早急に対応 さ せていただ き ます。
なお、 こ の メ ール ア ド レ スへのお問い合わせは受け付けてお り ません。 あ ら か じ めご了承 く だ さ い。
DS190 (v1.10) 2016 年 9 月 27 日
Product 製品仕様
japan.xilinx.com
25