プログラム - 応用物理学会

NGL WS
Technical Program
2016
Oral Presentations 1
Thursday 7 July ― Kuramae Hall
Openning
10:00
次世代リソグラフィワークショッププログラム委員長挨拶
Openning Remarks by the Program Chair of the NGL Workshop
石原 直(東大)
Sunao Ishihara (UTokyo)
Plenary Presentations I
Session Chair: Sunao Ishihara (UTokyo)
10:05
次世代微細パターニングとフレキシブルエレクトロニクス
Next-generation fine patterning and flexible electronics
染谷 隆夫(東大)
Takao Someya (UTokyo)
10:45
量産に向けたナノインプリントリソグラフィの現状
Nanoimprint System Development and Status for High Volume Semiconductor Manufacturing
森本 修(キヤノン)
Osamu Morimoto (Canon)
― Coffee Break ―
11:25
Optical Lithography & Multiple Patterning Technology
Session Chair: Masahito Hiroshima (Toshiba)
11:40
7nmノードに向けた次世代露光装置のOn-product性能向上
Next-generation immersion scaner optimizing on-product performance for 7nm node
早川 彰、石山 聡、依田 安史、大村 泰弘(ニコン)
Akira Hayakawa, Satoshi Ishiyama, Yasushi Yoda, and Yasuhiro Ohmura (Nikon)
12:05
SAQPプロセスにおけるマスク欠陥転写性検討
Mask Defect Printability in the Self-Aligned Quadruple Patterning (SAQP) process
古林 賢、庄 浩太郎、三吉 靖郎、山口 真司、飯田 和典、臼井 聡、森崎 剛史、佐藤 直樹、向井 英史(東芝)
Ken Furubayashi, Koutarou Sho, Seiro Miyoshi, Shinji Yamaguchi, Kazunori Iida, Satoshi Usui, Tsuyoshi Morisaki, Naoki Sato, and Hidefumi Mukai
(Toshiba)
― Lunch Break ―
12:30
13:40
7nmノードに向けたマルチパターニング技術の課題と対策
Opportunity and challenge in Multi-patterning towards 7nm node
八重樫 英民(東京エレクトロン)
Hidetami Yaegashi (TOKYO ELECTRON)
14:05
ネガティブトーンイメージングを用いた液浸リソグラフィの延命
Challenges for immersion lithography extension based on negative tone imaging (NTI) process
藤森 亨、白川 三千紘、大松 禎、王 恵瑜、米久田 康智、畠山 直也、浅川 大輔、薬師寺 隆、藤田 光宏、村木 七江(富士フイルム)
Toru Fujimori, Michihiro Shirakawa, Tadashi Omatsu, Keiyu Ou, Yasunori Yonekuta, Naoya Hatakeyama,
Daisuke Asakawa, Takashi Yakushiji, Mitsuhiro Fujita, and Nanae Muraki (FUJIFILM)
Directed Self-Assembly(DSA)and Resist Materials
Session Chair: Noriyuki Hirayanagi (Nikon)
14:30
光増感化学増幅レジストの現状
Present Status of Photosensitized Chemically Amplified Resists
田川 精一1,2、大島 明博1,2、永原 誠司3、中川 恭志4、白石 豪介5、寺下 裕一5、嶺川 幸江5、吉原 孝介5、友野 勝5、永井 智樹4、
成岡 岳彦4、中島 英男3、Michael Carcasi6、Joel Estrella6(阪大1、阪大産研2、東京エレクトロン3、JSR4、TEL九州5、TEL America6)
Seiichi Tagawa1,2, Akihiro Oshima1,2, Seiji Nagahara3, Hisashi Nakagawa4, Gosuke Shiraishi5, Yuichi Terashita5, Yukie Minekawa5,
Kosuke Yoshihara5, Masaru Tomono5, Tomoki Nagai4, Takehiko Naruoka4, Hideo Nakashima3, Michael Carcasi6, and Joel Estrella6
(Osaka Univ.1, Osaka Univ. Sanken2, Tokyo Electron3, JSR4, TEL Kyushu5, TEL America6)
14:55
最先端リソグラフィのためのドライ現像リンスプロセス(DDRP)と材料(DDRM)
Dry Development Rinse Process(DDRP) and Materials(DDRM)for Advanced Lithography
坂本 力丸(日産化学)
Rikimaru Sakamoto (Nissan Chemical)
15:20
DSA L/Sパターンの欠陥削減及びLER改善の現状
Latest results of defect and LER improvement regarding DSA L/S patterns
村松 誠1、西 孝典1、楊 元1、齋藤 祐介1、井戸 泰幸1、伊藤 清仁2、戸花 敏勝2、細谷 正徳2、Weichien Chen2、中村 悟3、
Mark Somervell4、北野 高広1(東京エレクトロン九州1、東京エレクトロン宮城2、TEL Technol. Center3、TEL America4)
Makoto Muramatsu1, Takanori Nishi1, Gen You1, Yusuke Saito1, Yasuyuki Ido1, Kiyohito Ito2, Toshikatsu Tobana2, Masanori Hosoya2,
Weichien Chen2, Satoru Nakamura3, Mark Somervell4, and Takahiro Kitano3 (TEL Kyushu1, TEL Miyagi2, TEL Technol. Center3, TEL America4)
15:45
ブロック共重合高分子の自己組織化を用いたサブ10 nm金属配線回路試作
Fabrication of Sub-10 nm Metal Wire Circuits using Directed Self-Assembly of Block Copolymers
東 司(EIDEC)
Tsukasa Azuma (EIDEC)
― Coffee Break ―
16:10
16:25 - 19:00
Poster Presentations
Poster Session A - Royal Blue Hall
Poster Session B - Kuramae Hall
Oral Presentations 2
Friday 8 July ― Kuramae Hall
Plenary Presentations II
Session Chair: Shinji Okazaki (GIGAPHOTON)
9:30
Insertion of EUV in volume manufacturing : where are we ?
Kurt Ronse(imec)
Extreme Ultraviolet Lithography(EUVL)
Session Chair: Toshiro Itani (EIDEC)
10:10
EUV Lithography Industrialization Progress
森崎 健史(ASML-J)
Kenji Morisaki (ASML-J)
10:35
半導体量産用EUV光源開発の最新状況
Update of High Power LPP-EUV Source Development for High Volume Semiconductor Manufacturing
山崎 卓(ギガフォトン)
Taku Yamazaki (GIGAPHOTON)
11:00
EUV量産に向けたマスクブランクス欠陥検査装置の開発
Development of actinic blank inspection tool for EUV high volume manufacturing
猪野 智久、佐藤 大地、鈴木 智博、武久 究、宮井 博基 (レーザーテック)
Tomohisa Ino, Taich Sato, Tomohiro Suzuki, Kiwamu Takehisa, and Hiroki Miyai (Lasertec)
11:25
High-Sensitivity Metal-based Resists for EUV Lithography
Julius Joseph Santillan (EIDEC)
11:50
― Coffee Break ―
E-Beam, Metrology & Mask Technology
Session Chairs: Shoji Hotta (Hitachi) and Morihisa Hoga (DNP)
12:05
微細デバイス内部の電子線検査高感度化の検討
Assessment of high sensitive EB inspection for bottom defects in HAR devices
飯田 悠介、濱口 晶、井田 知宏(東芝)
Yusuke Iida, Akira Hamaguchi, and Chihiro Ida (Toshiba)
12:30
微小角入射X線小角散乱法によるレジストラインパターンとホールパターンの形状計測
Characterization of cross-sectional profile of resist L/S and hole pattern using CD-SAXS
伊藤 義泰(リガク)
Yoshiyasu Ito (RIGAKU)
― Lunch Break ―
12:55
13:50
CD-SEMを用いたDSAパターンの最新計測評価技術の紹介 - Coordinated line epitaxy (COOL) processへの適用 Advanced CD-SEM metrology for qualification of DSA patterns using coordinated line epitaxy (COOL) process
加藤 毅(日立ハイテク)
Takeshi Kato (Hitachi-HT)
14:15
マルチビーム描画装置MBM-1000の概要
Multi-Beam Mask Writer MBM-1000
松本 裕史(ニューフレア)
Hiroshi Matsumoto (NuFlare)
Nanoimprint Lithography(NIL)
Session Chair: Hiroshi Hiroshima (AIST)
14:40
ポリマーナノインプリントにおける単原子レベル転写の達成と今後の展開
Single-atom-level patterning in polymer nanoimprint towards next-generation flexible devices
吉本 護(東工大)
Mamoru Yoshimoto (Tokyo Tech)
15:05
ナノインプリントリソグラフィの現在の開発状況と将来の半導体デバイス応用
Current development status and future planning for semiconductor device applications of nanoimprint lithography
東木 達彦(東芝)
Tatsuhiko Higashiki (Toshiba)
15:30
NILテンプレートの品質改善
Improvement of Template Quality for Nanoimprint Lithography
市村 公二(DNP)
Kouji Ichimura (DNP)
15:55
UVナノインプリントレジストの材料設計
Material Design Considerations of UV-NIL Resists
後藤 雄一郎、臼杵 一幸(富士フイルム)
Yuichirou Goto and Kazuyuki Usuki (FUJIFILM)
16:20
16:35 - 17:45
18:30
― Coffee Break ―
Panel Discussion
詳細検討中
To be determined
Poster Sessions A
NGL WS
2016
16:25-19:00, Thursday 7 July ― Royal Blue Hall
Optical Lithography & Multiple Patterning Technology
P1
深層学習を用いたリソグラフィホットスポット検出
Lithography Hotspot Detection with Deep Neural Network
松縄 哲明、野嶋 茂樹、小谷 敏也(東芝)
Tetsuaki Matsunawa, Shigeki Nojima, and Toshiya Kotani (Toshiba)
P2
次世代マルチパターニング露光対応 低環境負荷ArFエキシマレーザの開発
Development of ArF excimer laser for the next generation multiple-patterning lithography supporting green operations
太田 毅(ギガフォトン)
Takeshi Ota (GIGAPHOTON)
P3
SADP上におけるブロックパターン重ね合わせ精度分析
Analyzing Block Placement Errors in SADP Patterning
小林 真二1、岡田 聡一郎1、志村 悟1、Kathleen Nafus2、Carlos Fonseca2、Marc Demand3、Serge Biesemans3、Janko Versluijs4、
Monique Ercken4、Philippe Foubert4、宮崎 忍1(TEL九州1、TEL America2、TEL Europe3、imec4)
Shinji Kobayashi1, Soichiro Okada1, Satoru Shimura1, Kathleen Nafus2, Carlos Fonseca2, Marc Demand3, Serge Biesemans3,
Janko Versluijs4, Monique Ercken4, Philippe Foubert4, and Shinobu Miyazaki1 (TEL Kyushu1, TEL America2, TEL Europe3, imec4)
Directed Self-Assembly (DSA) & Resist Materials
P4
光増感化学増幅型レジスト(PSCARTM)によるEUVリソグラフィの解像力・ラフネス・感度改善ヘ向けたアプローチ
Challenge toward breakage of RLS trade-off for EUV lithography by Photosensitized Chemically Amplified Resist TM (PSCARTM) with flood exposure
永原 誠司1、Michael Carcasi2、中川 恭志3、Elizabeth Buitrago4、Oktay Yildirim5、白石 豪介6、寺下 裕一6、嶺川 幸江6、 吉原 孝介6、
友野 勝6、Joel Estrella2、永井 智樹3、 成岡 岳彦3、出井 慧7、堀 雅史7、大島 明博8、Michaela Vockenhuber4、Yasin Ekinci4、
Marieke Meeuwissen5、Coen Verspaget5、Rik Hoefnagels5、Gijsbert Rispens5、Raymond Maas5、中島 英男1、田川 精一8
(東京エレクトロン1、TEL America2、JSR3、PSI4、ASML5、TEL九州6、JSR Micro NV7、阪大8)
1
2
Seiji Nagahara , Michael Carcasi , Hisashi Nakagawa3, Elizabeth Buitrago4, Oktay Yildirim5, Gosuke Shiraishi6, Yuichi Terashita6, Yukie Minekawa6,
Kosuke Yoshihara6, Masaru Tomono6, Joel Estrella2, Tomoki Nagai3, Takehiko Naruoka3, Satoshi Dei7, Masafumi Hori7, Akihiro Oshima8, Michaela Vockenhuber4,
Yasin Ekinci4, Marieke Meeuwissen5, Coen Verspaget5, Rik Hoefnagels5, Gijsbert Rispens5, Raymond Maas5, Hideo Nakashima1, and Seiichi Tagawa8
(TOKYO ELECTRON1, TEL America2, JSR3, PSI4, ASML5, TEL Kyushu6, JSR Micro NV7, Osaka Univ.8)
P5
7nmノード向け高感度EUVフォトレジストの開発
Novel high sensitivity EUV photoresist for sub-7 nm node
野辺 洋平1、永井 智樹1、中川 恭志1、白谷 宗大1、成岡 岳彦1、出井 慧2、堀 雅史2、田川 精一3、大島 明博3、永原 誠司4、白石 豪介5、吉原 孝介5、
寺下 裕一5、嶺川 幸江5、Elizabeth Buitrago6、Yasin Ekinci6、Oktay Yildirim7、Marieke Meeuwissen7、Rik Hoefnagels7、
Gijsbert Rispens7、Coen Verspaget7、Raymond Maas7(JSR1、JSR Micro NV2、阪大3、東京エレクトロン4、TEL九州5、PSI6、ASML7)
Yohei Nobe1, Tomoki Nagai1, Hisashi Nakagawa1, Motohiro Shiratani1, Takehiko Naruoka1, Satoshi Dei2, Hori Masafumi2, Seiichi Tagawa3, Akihiro Oshima3,
Seiji Nagahara4, Gosuke Shiraishi5, Kosuke Yoshihara5, Yuichi Terashita5, Yukie Minekawa5, Elizabeth Buitrago6, Yasin Ekinci6, Oktay Yildirim7, Marieke Meeuwissen7,
Rik Hoefnagels7, Gijsbert Rispens7, Coen Verspaget7, and Raymond Maas7 (JSR1, JSR Micro NV2, Osaka Univ.3, TOKYO ELECTRON4, TEL Kyushu5, PSI6, ASML7)
P6
PMMAの溶解挙動の主鎖分解後の分子量依存性
Dependence of PMMA dissolution kinetics on molecular weight after main chain scission
誉田 明宏1、山本 洋揮1、古澤 孝弘1、吉武 秀介2(阪大1、ニューフレア2)
Akihiro Konda1, Hiroki Yamamoto1, Takahiro Kozawa1, and Shusuke Yoshitake2 (Osaka Univ1., NuFlare2)
P7
ガイドパターンラフネスがDSAパターンの位置ずれに及ぼす影響調査
The effects of guide pattern roughness on directed self-assembly line-space pattern
小林 克稔1、笠原 佑介1、宮城 賢2、大森 克実2 、川上 真一路3、山内 剛3、北野 高広3、
白石 雅之4、青山 寿子1(東芝1、東京応化2、TEL九州3、ニコン4)
Katsutoshi Kobayashi1, Yusuke Kasahara1, Ken Miyagi2, Katsumi Ohmori2, Shinichiro Kawakami3, Takashi Yamauchi3,
Takahiro Kitano3, Masayuki Shiraishi4, and Hisako Aoyama1 (Toshiba1, TOK2, TEL Kyushu3, Nikon4)
P8
ナノインプリントガイド自己組織化パターニング(NIL+DSA)技術
Sub-15nm Patterning Technology using Directed Self-Assembly on Nano-Imprinting Guide
森田 成二 (東芝)
Seiji Morita (Toshiba)
P9
Sub-10 nmパターニングに向けたDSA材料
DSA materials for sub-10 nm patterning
前橋 貴哉(TOK)
Takaya Maehashi (TOK)
P10
DSA材料のためのリビングアニオン重合を用いたhigh-χブロック共重合体の開発
Development of high-χ block copolymers by living anionic polymerization for DSA materials
小坂 明正、川口 幸男、氷見 知之、大柿 亮介、松木 亮太、平原 和弘、高野 敦志、松下 祐秀 (堀場エステック)
Terumasa Kosaka, Yukio Kawaguchi, Tomoyuki Himi, Ryosuke Ogaki, Ryota Matsuki, Kazuhiro Hirahara, Atsushi Takano, and Yusyu Matsushita (HORIBA STEC)
P11
周期/非周期パターンの境界におけるDSA欠陥の検討
Investigation of DSA defects at the boundary between the periodic and non-periodic patterns
吉田 旺央1、吉元 健治1、大嶋 正裕1、小寺 克昌2、中 義祐2、金井 秀樹2、小林 幸子2、
前田 志門2、Phubes Jiravanichsakul2、小林 克稔2、青山 寿子2(京大1、東芝2)
Akihisa Yoshida1, Kenji Yoshimoto1, Masahiro Ohshima1, Katsuyoshi Kodera2, Yoshihiro Naka2, Hideki Kanai2, Sachiko Kobayashi2,
Shimon Maeda2, Phubes Jiravanichsakul2, Katsutoshi Kobayashi2, and Hisako Aoyama2 (Kyoto Univ.1, Toshiba2)
P12
塗布現像装置におけるホールシュリンクプロセスの位置ずれに関する研究
Investigation of Coat-Develop Track System for Placement Error of Contact Hole Shrink Process
春本 将彦1、田中 裕二1、浅井 正也1、Isabelle Servin2, Maxime Argoud2, Ahmed Gharbi2, Celine Lapeyre2,
Raluca Tiron2、Cedric Monget3(SCREENセミコンダクターソリューションズ1、CEA-LETI MINATEC2、STMicrolectronics3)
Masahiko Harumoto1, Yuji Tanaka1, Masaya Asai1, Isabelle Servin2, Maxime Argoud2, Ahmed Gharbi2, Celine, Lapeyre2,
Raluca Tiron2, and Cedric Monget3 (SCREEN Semiconductor Solutions1, CEA-LETI MINATEC2, STMicrolectronics3)
Extreme Ultraviolet Lithography(EUVL)
P13
13 nm hp 向けEUV露光用ネガティブトーンイメージング材料
Negative-tone imaging with EUV exposure toward 13nm hp
藤森 亨、椿 英明、二橋 亘、土橋 徹、山本 慶、後藤 孝浩(富士フイルム)
Toru Fujimori, Hideaki Tsubaki, Wataru Nihashi, Toru Tsuchihashi, Kei Yamamoto, and Takahiro Goto (FUJIFILM)
P14
新しい画像取り込み方法を用いた写像投影型電子線検査装置によるEUVマスク検査のスループット向上
A new image capture method for improving EUVL patterned mask inspection throughput with Projection Electron Microscope System
岡田 真一1、村上 武司1、畠山 雅規1、狩俣 努1、渡辺 秀弘2、平野 亮一2、飯田 晋2(荏原製作所1、EIDEC2)
Shinichi Okada1, Takeshi Murakami1, Masahiro Hatakeyama1, Tsutomu Karimata1, Hidehiro Watanabe2, Ryoichi Hirano2, and Susumu Iida2 (EBARA1, EIDEC2)
P15
マイクロコヒーレントスキャトロメトリー法によるEUVマスク上の実欠陥観察結果
Observation Results of Actual Phase Defects Using Micro Coherent EUV Scatterometry Microscope
橋本 拓、原田 哲男、渡邊 健夫(兵県大)
Hiraku Hashimoto, Tetsuo Harada, and Takeo Watanabe(Univ. Hyogo)
P16
フォトダイオード直接塗布によるEUVレジスト透過率測定
Transmittance measurement for EUV resist by direct-resist coating on a photodiode
豆崎 大輝、渡辺 雅紀、原田 哲男、渡邊 健夫(兵県大)
Daiki Mamesaki, Masanori Watanabe, Tetsuo Harada, and Takeo Watanabe(Univ. Hyogo)
P17
高輝度軟X線レーザー光照射によるEUV多層膜損傷実験の概要
Overview of irradiation damage tests for EUV multilayers using a high intensity soft X-ray laser
市丸 智1、錦野 将元2、石野 雅彦2、畑山 雅俊1、長谷川 登2、河内 哲哉2、丸山 隆志1、猪熊 和仁1、前場 美香1、奥 哲1 (NTT-AT1, 量子機構2)
Satoshi Ichimaru1, Masaharu Nishikino2, Masahiko Ishino2, Masatoshi Hatayama1, Noboru Hasegawa2,
Tetsuya Kawachi2, Takashi Maruyama1, Kazuhito Inokuma1, Mika Zenba1, and Satoshi Oku1 (NTT-AT1, QST2)
Poster Sessions B
16:25-19:00, Thursday 7 July ― Kuramae Hall
E-Beam, Metrology, and Mask Technology
P18
SAXS法によるNILテンプレートのパターン断面形状計測能力
The measurement capabilities of cross-sectional profile of NIL template pattern using small angle x-ray scattering
山中 栄二1、谷口 力也1、伊藤 正光1、表 和彦2、伊藤 義泰2、尾形 潔2、林 直也3(東芝1、リガク2、DNP3)
Eiji Yamanaka1, Eiji Yamanaka1, Rikiya Taniguchi1, Masamitsu Itoh1, Kazuhiko Omote2, Yoshiyasu Ito2, Kiyoshi Ogata2, and Naoya Hayashi3 (Toshiba1, Rigaku2, DNP3)
P19
スキャナのフォーカス制御向けのSEM画像解析技術
SEM image analysis for scanner focus control
篠田 伸一(日立)
Shinichi Shinoda (Hitachi)
P20
FIBとCD-SEMを使った3Dデバイス構造のプロセスモニタ
Process monitor of 3D-device features by using FIB and CD-SEM
川田 洋揮 (日立ハイテク)
Hiroki Kawada (Hitachi-HT)
P21
ロジック10nmノードに向けた高透過率位相シフトマスク開発
Development of a New High Transmission Phase Shift Mask Technology for 10 nm Logic Node
坂本 好史1、Thomas Faure2、Karen Badger2、Mark Lawliss2、Richard Wistrom2、Amy Zweber2、Granger Lobb2、
Ramya Viswanathan2、Lin Hu2、五十川 健3、香川 正行3、関 和範3、戸田 祐輔3、Yongan Xu4、西川 和宏5、稲月 判臣5
(凸版印刷1、GLOBALFOUNDRIES2、Toppan Photomasks3、IBM4、信越化学5)
Yoshifumi Sakamoto1, Thomas Faure2, Karen Badger2, Mark Lawliss2, Richard Wistrom2, Amy Zweber2, Granger Lobb2, Ramya Viswanathan2,
Lin Hu2, Takeshi Isogawa3, Masayuki Kagawa3, Kazunori Seki3, Yusuke Toda3, Yongan Xu4, Kazuhiro Nishikawa5, and Yukio Inazuki5
(Toppan1, GLOBALFOUNDRIES2, Toppan Photomask3, IBM4, Shin-Etsu Chemical5)
P22
新low-k材料を用いたマスクのリソグラフィ性能評価
Lithographic performance of a new “low-k” mask
谷 絢子1、安達 俊1、藤村 幸弘1、早野 勝也1、森川 泰考1、宮下 裕之1、稲月 判臣2、河合 義夫2(DNP1、信越化学2)
Ayako Tani1, Takashi Adachi1, Yukihiro Fujimura1, Katsuya Hayano1, Yasutaka Morikawa1,
Hiroyuki Miyashita1, Yukio Inazuki2, and Yoshio Kawai2 (DNP1, Shin-Etsu Chemical2)
P23
レジスト帯電による電子線露光パターン位置ずれの物理モデルによる補正
Model based correction of placement error in EBL and its calibration
吉見 信1, Sergey Babin2, Sergei Borisov2, Vladimir Miltsin2、駒形正3、若槻哲良3(エイビーム・テクノロジーズ・ジャパン1、aBeam2、日本電子3)
Makoto Yoshimi1, Sergey Babin2, Sergei Borisov2, Vladimir Miltsin2, Tadashi Komagata3, and Tetsurou Wakatsuki3 (aBeam Technologies Japan1, aBeam2, JEOL3)
Nanoimprint Lithography(NIL)
P24
ナノインプリントにおけるピーリング離型プロセスの計算機ならびに実験解析
Computational and experimental study on peeling release process in Nanoimprint lithography
ケルビン フローリアン2、飯田 達也1、安田 雅昭1、川田 博昭1、平井 義彦1(大府大1、フランス国立高等電子応用大学院2)
Florian Chalvin2, Tatsuya Iida1, Masaaki Yasuda1, Hiroaki Kawata1, and Yoshihiko Hirai1 (Osaka Pref. Univ.1, ENSEA2)
P25
ダブルナノインプリントグラフォエピタキシーによる分子配向現象
Double nanoimprint-graphoepitaxy for inducing molecular orientation
岡田 真、谷口 雄亮、春山 雄一、小野 浩司、川月 喜弘、松井 真二(兵県大)
Makoto Okada, Yusuke Taniguchi, Yuichi Haruyama, Hiroshi Ono,Nobuhiro Kawatsuki, and Shinji Matsui (Univ. Hyogo)
P26
可溶性ブロック共重合ポリイミドのナノインプリントを用いた銅デュアルダマシンプロセス
Copper dual-Damascene Process by Nanoimprint lithography on Soluble Block Copolymer Polyimide
鈴木 健太、尹 成圓、高木 秀樹、廣島 洋(産総研)
Kenta Suzuki, Sungwon Youn, Hedeki Takagi, Hiroshi Hiroshima (AIST)
P27
光硬化樹脂薄膜の表面弾性率マッピング像における膜厚依存性に関する考察
Study of Dependence of UV-cured Film Thickness on Mapping Image of Surface Elasticity
矢野 春菜1、久保 祥一2、中川 勝1、梁 暁斌3、藤波 想4、中嶋 健3(東北大1、物材機構2、東工大3、理研4)
Haruna Yano1, Shoichi Kubo2, Masaru Nakagawa1, Xiaobin Liang3, So Fujinami4, and Ken Nakajima3 (Tohoku Univ.1, NIMS2, Tokyo Tech3, RIKEN4)
P28
ピコ秒パルスレーザー穴あけ加工によるポリイミド孔版の作製と光硬化性液体の位置選択的塗布
Fabrication of Through-Hole Masks for Screen Printing by Picosecond Pulse Laser Drilling and Selective Discharge of UV-curable Resin
中村 貴宏1、関 健斗1、永瀬 和郎2、中川 勝1(東北大1、ミノグループ2)
Takahiro Nakamura
1
, Kento Seki1, Kazuro Nagase2, and Masaru Nakagawa1 (Tohoku Univ.1, Mino Group2)
R&D Support Program
P29
文部科学省ナノテクノロジープラットフォーム事業 "微細加工プラットフォーム"
Nanofabrication Platform"", Nanotechnology Platform, MEXT
島本 直伸1、松本 幹雄2、富井 和志2(東大1、京大2)
Naonobu Shimamoto1, Mikio Matsumoto2, and Kazushi Tomii2 (Univ. Tokyo1, Kyoto Univ.2)