KC705 評価キットで Aurora 64B/66B コア (シンプレックス) を

ア プ リ ケーシ ョ ン ノ ー ト : Kintex-7 フ ァ ミ リ
KC705 評価キ ッ ト で Aurora 64B/66B コ ア ( シ
ン プ レ ッ ク ス ) を使用する シ ス テムを設計
XAPP1212 (v1.0) 2015 年 1 月 9 日
概要
著者 : Dinesh Kumar、 Ramachandra Thupalli、 K Krishna Deepak
こ の ア プ リ ケ ー シ ョ ン ノ ー ト で は、 Kintex®-7 FPGA KC705 評価 キ ッ ト で ザ イ リ ン ク ス の
LogiCORE™ Aurora 64B/66B IP コ ア を検証する ために必要な手順を説明 し ます。 Aurora 64B/66B コ
アは、 ス ケー ラ ブル、 軽量、 そ し て高デー タ レー ト の高速シ リ アル通信向けの リ ン ク レ イ ヤー プ ロ ト
コ ルです。 Aurora は、 直感的な ウ ィ ザー ド イ ン タ ーフ ェ イ ス を使用 し て、 ザ イ リ ン ク ス の ト ラ ン シー
バーを簡単に実装す る こ と を目的 と し てい ます。 Aurora プ ロ ト コ ルの仕様は公開 さ れてお り 、 リ ク エ ス
ト に応 じ て提供 さ れます。 Aurora コ アは Vivado® IP カ タ ロ グか ら 無償で利用可能で、 ラ イ セ ン ス を取
得 し てザ イ リ ン ク ス のシ リ コ ン デバ イ ス で使用で き ます。
一般的に Aurora は、 ほかの業界標準シ リ アル イ ン タ ーフ ェ イ ス では複雑すぎた り 、 リ ソ ース を消費 し
すぎ る と い っ た アプ リ ケーシ ョ ン で使用 さ れ ます。 Aurora は、 低 コ ス ト 、 高デー タ レー ト 、 ス ケー ラ
ブル、 そ し て柔軟な シ リ アル デー タ チ ャ ネルを構築で き ます。 そのシ ン プルな フ レーム構造は、 既存
プ ロ ト コ ルか ら のデー タ を容易にカプセル化で き 、ま た電気的要件 も 汎用シ ス テ ム と 互換性があ り ます。
Aurora の使用に よ っ て、 FPGA リ ソ ース の大量消費や ソ フ ト ウ ェ アの再開発、 ま たは物理的な イ ン フ ラ
を新たに構築す る こ と な く パフ ォーマ ン ス を向上 さ せ る こ と がで き ます。
リ フ ァ レ ン ス デザ イ ンは、 Kintex-7 FPGA KC705 評価ボー ド を タ ーゲ ッ ト に し てい ます。
含まれる シ ス テム
リ フ ァ レ ン ス デザ イ ンは、 Vivado Design Suite : System Edition 2014.1 を使用 し て作成お よ び構築 さ
れてい ます。 Vivado Design Suite を利用す る こ と に よ っ て、 IP ブ ロ ッ ク を イ ン ス タ ン シエー ト 、 コ ン
フ ィ ギ ュ レーシ ョ ン、 お よ び接続 し て複雑な統合シ ス テ ム を構築す る 作業が簡略化 さ れます。 リ フ ァ レ
ン ス デザ イ ンには、 信号をプ ロ ーブす る ための VIO お よ び ILA コ ア も 含まれてい ます。
は じ めに
こ のアプ リ ケーシ ョ ン ノ ー ト では、Vivado Design Suite を使用し て Aurora 64B/66B コ ア を コ ン フ ィ ギ ュ
レーシ ョ ン し 、VIO およ び ILA コ アで さ ま ざ ま な信号をプ ロ ーブ し てシ ンプ レ ッ ク ス (単方向通信) モー
ド の Aurora コ アの動作を検証する手順を詳 し く 説明し ます。
こ こ で提供す る サン プル デザ イ ンは、 2 つのプ ラ ッ ト フ ォ ーム を使用す る シ ン グル レーン シ ン プ レ ッ
ク ス コ ン フ ィ ギ ュ レーシ ョ ン を示 し てい ます (図 1)。 よ り 複雑なシ ス テ ムの構築ブ ロ ッ ク を作成す る た
めに、 完成 し たサンプル デザ イ ン を利用す る こ と が可能です。
サンプル テ ス ト セ ッ ト ア ッ プでは、2 つの ク ロ ッ ク ソ ース を使用 し て 156.25MHz ク ロ ッ ク 信号を生成
し ます。 こ れ ら の リ フ ァ レ ン ス デザ イ ンの再現には、 適切に調整 さ れた 156.25MHz ク ロ ッ ク ソ ース で
あればどれで も 利用可能です。
© Copyright 2015 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Vivado, Zynq, and other designated brands included herein are trademarks
of Xilinx in the United States and other countries. All other trademarks are the property of their respective owners.
本資料は表記のバージ ョ ンの英語版を翻訳 し た も ので、内容に相違が生 じ る場合には原文を優先 し ます。 資料に よ っ ては英語版の更新に対応 し ていない も のがあ り ます。 日本
語版は参考用 と し て ご使用の上、 最新情報につ き ま し ては、 必ず最新英語版を ご参照 く だ さ い。
XAPP1212 (v1.0) 2015 年 1 月 9 日
japan.xilinx.com
1
ハー ド ウ ェ ア要件
X-Ref Target - Figure 1
7;3²5;3
.&%RDUG7;
.&%RDUG5;
7;1²5;1
0*7&/.1
0*7&/.3
0*7&/.1
0*7&/.3
&ORFN
*HQHUDWRU
&ORFN
*HQHUDWRU
図 1 : シ ン プ レ ッ ク ス リ フ ァ レ ン ス デザイ ン
ハー ド ウ ェ ア要件
シ ン グル レーン シ ンプ レ ッ ク ス コ ン フ ィ ギ ュ レーシ ョ ンには、 次のハー ド ウ ェ ア コ ン ポーネ ン ト が必
要です。
•
•
•
•
•
•
Kintex-7 FPGA KC705 評価ボー ド (x 2)
KC705 ユニバーサル 12v 電源ア ダプ タ ー (x 2)
156.25MHz の生成に適 し た ク ロ ッ ク ジ ェ ネ レー タ ー (x 2)
JTAG プ ラ ッ ト フ ォーム USB ケーブル (x 2)
両端 SMA コ ネ ク タ 付き ケーブル (x 4) (基準 ク ロ ッ ク 用)
両端 SMA コ ネ ク タ 付き ケーブル (x 2) ( シ リ アル デー タ 用)
ソ フ ト ウ ェ ア要件
Aurora 64B/66B シ ンプ レ ッ ク ス サンプル デザ イ ンの ソ フ ト ウ ェ ア要件は次の と お り です。
• Vivado Design Suite 2014.1
ハー ド ウ ェ アの構
築
シ ン プ レ ッ ク ス サン プル デザイ ン
Aurora コ アのカ ス タ マ イ ズ
次の手順に従っ て、シ ンプ レ ッ ク ス サンプル デザ イ ン用に Aurora 64B/66B コ ア を カ ス タ マ イ ズ し て生
成 し ます。
1.
2.
XAPP1212 (v1.0) 2015 年 1 月 9 日
Vivado Design Suite を起動 し ます。
[Create New Project] を ク リ ッ ク し て [Next] を ク リ ッ ク し ます (図 2)。
japan.xilinx.com
2
ハー ド ウ ェ アの構築
X-Ref Target - Figure 2
図 2 : Vivado ツールの新規プ ロ ジ ェ ク ト の作成
3.
プ ロ ジ ェ ク ト 名 と パ ス を選択 し て [Next] を ク リ ッ ク し ます (図 3)。
X-Ref Target - Figure 3
図 3 : 新 し いプ ロ ジ ェ ク ト の名前を設定
4.
XAPP1212 (v1.0) 2015 年 1 月 9 日
[RTL Project] をオンに し てサンプル デザ イ ンの実行を許可 し 、[Do not specify sources at this time]
をオンに し ます (図 4)。 [Next] を ク リ ッ ク し ます。
japan.xilinx.com
3
ハー ド ウ ェ アの構築
X-Ref Target - Figure 4
図 4 : 新 し いプ ロ ジ ェ ク ト の タ イ プ を設定
5.
[xc7k325tffg900-2] を ク リ ッ ク する か、 ま たは [Boards] を ク リ ッ ク し て [Kintex-7 KC705
Evaluation Platform] を ク リ ッ ク し ます (図 5)。
X-Ref Target - Figure 5
図 5 : [New Project] の [Default Part] ページ
6.
7.
XAPP1212 (v1.0) 2015 年 1 月 9 日
[Next] を ク リ ッ ク し て [Finish] を ク リ ッ ク し ます
Flow Navigator の [Project Manager] 下にあ る [IP catalog] を ク リ ッ ク し 、 「Aurora 64B66B」 を検
索 し ます。 Aurora コ アは、 [Communication & Networking] → [Serial Interfaces] の下にあ り ます
(図 6)。
japan.xilinx.com
4
ハー ド ウ ェ アの構築
X-Ref Target - Figure 6
図 6 : Vivado IP カ タ ログの Aurora 64B/66B コ ア
8.
XAPP1212 (v1.0) 2015 年 1 月 9 日
[Aurora 64B66B] を右 ク リ ッ ク し て [Customize IP] を ク リ ッ ク し ます。
japan.xilinx.com
5
ハー ド ウ ェ アの構築
X-Ref Target - Figure 7
図 7 : [Customize IP] の選択
9.
XAPP1212 (v1.0) 2015 年 1 月 9 日
[Customize IP] ダ イ ア ロ グ ボ ッ ク ス の [Core Options] タ ブで、次の よ う にオプシ ョ ン を設定 し ます
(図 8 参照)。
• [Line Rate (Gb/s)] に [3.125]、 [GT Refclk (MHz)] に [156.250] を指定 し ます。
•
コ ン フ ィ ギ ュ レーシ ョ ン さ れ る プ ラ ッ ト フ ォームに応 じ て、 [Dataflow Mode] に [TX-only
Simplex] ま たは [RX-only Simplex] を指定 し ます。
• [Interface] に [Framing]、 [Flow Control] に [None] を指定 し ます。
• [Vivado Lab Tools] オプシ ョ ン をオンに し ます。
japan.xilinx.com
6
ハー ド ウ ェ アの構築
X-Ref Target - Figure 8
図 8 : Aurora 64B/66B シ ン プ レ ッ ク ス コ アのオプ シ ョ ン設定
10. [GT Selections] タ ブ を ク リ ッ ク し ます。
11. GTXQ0 の リ ス ト ボ ッ ク ス左下のデフ ォ ル ト 設定 「1」 を 「X」 に変更 し ます。
12. GTXQ2 の リ ス ト ボ ッ ク ス左下の設定 「X」 を 「1」 に変更 し ます (図 9)。
注記 : GTXQ2 ト ラ ン シーバーは、 KC705 ボー ド の SMA コ ネ ク タ へ割 り 当て ら れ る 唯一の ト ラ ン
シーバーです。 リ ス ト ボ ッ ク ス の設定の上にカー ソ ルを置 く と 、 ツールチ ッ プが表示 さ れて選択 し
た ト ラ ン シーバーの位置を確認で き ます。
X-Ref Target - Figure 9
図 9 : Aurora 64B/66B シ ン プ レ ッ ク ス GT の選択
XAPP1212 (v1.0) 2015 年 1 月 9 日
japan.xilinx.com
7
ハー ド ウ ェ アの構築
13. [Shared Logic] タ ブのオプシ ョ ンはデフ ォ ル ト 値の ま ま変更 し ないで く だ さ い。[OK] を ク リ ッ ク し
ます。
14. [Generate Output Products] ダ イ ア ロ グ ボ ッ ク ス で、 [Generate] を ク リ ッ ク し ます。
サン プル デザイ ンの合成
1. 出力フ ァ イ ルの生成が完了 し た ら 、 Vivado IDE の [Project Manager] で コ ア名を右 ク リ ッ ク し て
[Open IP Example Design] を ク リ ッ ク し ます (図 10)。
X-Ref Target - Figure 10
図 10 : IP サン プル デザイ ン を開 く
2.
3.
XAPP1212 (v1.0) 2015 年 1 月 9 日
[OK] を ク リ ッ ク し て既存のサンプル デザ イ ン を上書 き し ます。
新 し く 開いた Vivado IDE ウ ィ ン ド ウ の [Project Manager] の [Sources] ビ ュ ーで [Constraints] を展
開 し ます。 制約フ ァ イ ル (aurora_64b66b_0_exdes.xdc) を右 ク リ ッ ク し て、 [Open file] を ク リ ッ ク
し ます (図 11)。
japan.xilinx.com
8
ハー ド ウ ェ アの構築
X-Ref Target - Figure 11
図 11 : 制約フ ァ イルを開 く
4.
5.
50MHz のボー ド ク ロ ッ ク に関する 2 つの制約を検索 し ます (図 11 参照)。
オン ボー ド の 200MHz ク ロ ッ ク を供給す る には、 ク ロ ッ ク 周期を 20ns か ら 5ns に変更 し ます。 修
正後の制約ス テー ト メ ン ト は次の よ う にな り ます。
create_clock -name TS_INIT_CLK -period 5 [get_ports INIT_CLK_P]
create_clock -name TS_INIT_CLK -period 5 [get_ports INIT_CLK_N]
6.
XAPP1212 (v1.0) 2015 年 1 月 9 日
表 1 に示す と お り に Aurora コ ア ポー ト の ピ ン位置を割 り 当て ます (図 12 参照)。
japan.xilinx.com
9
ハー ド ウ ェ アの構築
X-Ref Target - Figure 12
図 12 : Aurora 64B/66B シ ン プ レ ッ ク スの LOC 制約
表 1 : Aurora 64B/66B シ ン プ レ ッ ク スの制約
ピ ン名
LOC 値
INIT_CLK_N
AD11
INIT_CLK_P
AD12
RESET
AG5
PMA_INIT
AC6
TX_CHANNEL_UP/RX_CHANNEL_UP
AA8
TX_LANE_UP/RX_LANE_UP
AB8
GTXQ2_N
J7
GTXQ2_P
J8
7.
こ のサンプル デザ イ ンには、 制約 さ れていない ピ ンが含まれてい ます。 ビ ッ ト ス ト リ ーム フ ァ イ
ルの生成を可能にす る には、 制約フ ァ イ ルの最後に次の行を追加 し て く だ さ い (図 12)。
set_property BITSTREAM.General.UnconstrainedPins {Allow} [current_design]
注意 : スペ リ ン グに注意 し ます。 制約フ ァ イ ルの変更箇所を ダブルチ ェ ッ ク し てか ら 次の手順へ進
んで く だ さ い。
8.
制約フ ァ イ ルのエデ ィ タ ー ウ ィ ン ド ウ で右 ク リ ッ ク し 、 [Save File] を ク リ ッ ク し ます。 制約フ ァ イ
ルのエデ ィ タ ー ウ ィ ン ド ウ を閉 じ ます。
9.
Flow Navigator で [Generate Bitstream] を ク リ ッ ク し ます。
XAPP1212 (v1.0) 2015 年 1 月 9 日
japan.xilinx.com
10
ハー ド ウ ェ ア上での リ フ ァ レ ン ス デザイ ンの実行
10. [Yes] を ク リ ッ ク し て合成 と イ ンプ リ メ ン テーシ ョ ン を実行 し 、 ビ ッ ト ス ト リ ームの生成を開始 し
ます。
11. 「Aurora コ アのカ ス タ マ イ ズ」 お よ び 「サンプル デザ イ ンの合成」 の手順を繰 り 返 し 、 各プ ラ ッ ト
フ ォームのビ ッ ト ス ト リ ーム フ ァ イ ルを生成 し ます。
•
•
ハー ド ウ ェ ア上で
のリ フ ァ レンス デ
ザイ ンの実行
送信プ ラ ッ ト フ ォームの場合は、 [Dataflow Mode] に [TX-only Simplex] を指定 し ます。
受信プ ラ ッ ト フ ォームの場合は、 [Dataflow Mode] に [RX-only Simplex] を指定 し ます。
シ ン プ レ ッ ク ス サン プル デザイ ンのセ ッ ト ア ッ プ
こ のサ ン プル デザ イ ンは、 2 つのプ ラ ッ ト フ ォ ーム におけ る シ ン グル レーン Aurora 64B/66B シ ン プ
レ ッ ク ス の接続を 示 し てい ま す (2 ページの図 1 参照)。 プ ラ ッ ト フ ォ ーム は、 2 つの Kintex-7 FPGA
KC705 評価キ ッ ト ボー ド で構成 さ れてい ます (図 13)。
X-Ref Target - Figure 13
8
9
1
2
5
3
6
4
7
図 13 : KC705 ボー ド の画像
次の手順のか っ こ 内の番号は図 13 に示す番号に対応 し てい ます。 両端 SMA コ ネ ク タ 付 き ケーブルを
使用 し て、 こ れ ら の接続を行い ます。
•
•
•
•
•
•
•
ボー ド 1 の TXP (4) を ボー ド 2 の RXP (5) へ接続 し ます。
ボー ド 1 の TXN (7) を ボー ド 2 の RXN (6) へ接続 し ます。
ク ロ ッ ク ソ ース 1 の CLKP を ボー ド 1 の MGT CLK P (2) へ接続 し ます。
ク ロ ッ ク ソ ース 1 の CLKN を ボー ド 1 の MGT CLK N (3) へ接続 し ます。
ク ロ ッ ク ソ ース 2 の CLKP を ボー ド 2 の MGT CLK P (2) へ接続 し ます。
ク ロ ッ ク ソ ース 2 の CLKN を ボー ド 2 の MGT CLK N (3) へ接続 し ます。
ホ ス ト PC の JTAG プ ラ ッ ト フ ォーム USB ケーブルを ボー ド 1 のプ ラ ッ ト フ ォーム ケーブル
ヘ ッ ダー (1) へ接続 し ます。
•
ホ ス ト PC の JTAG プ ラ ッ ト フ ォーム USB ケーブルを ボー ド 2 のプ ラ ッ ト フ ォーム ケーブル
ヘ ッ ダー (1) へ接続 し ます。
•
KC705 ユニバーサル 12v 電源アダプ タ ー ケーブルを両方のボー ド の電源 コ ネ ク タ (9) へ接続 し ま
す。
•
XAPP1212 (v1.0) 2015 年 1 月 9 日
両方のボー ド の電源ス イ ッ チ (8) を ON の位置に設定 し ます。
japan.xilinx.com
11
ハー ド ウ ェ ア上での リ フ ァ レ ン ス デザイ ンの実行
セ ッ ト ア ッ プが完了す る と 、 図 14 の よ う にな り ます。
注記 : 各ボー ド にはそれぞれ独立 し た ク ロ ッ ク ソ ース を使用 し て く だ さ い。
X-Ref Target - Figure 14
Clock Source 1
KC705 Board 1 (TX)
Clock Source 2
KC705 Board 2 (RX)
図 14 : Aurora 64B/66B シ ン プ レ ッ ク スのセ ッ ト ア ッ プ
シ ン プ レ ッ ク スのサン プル デザイ ン セ ッ シ ョ ンのセ ッ ト ア ッ プ
デバイ スのプ ロ グ ラ ム
1. ビ ッ ト ス ト リ ームの生成が完了 し た ら 、 [Flow] → [Open Hardware Manager] を ク リ ッ ク し ます
(図 15)。
XAPP1212 (v1.0) 2015 年 1 月 9 日
japan.xilinx.com
12
ハー ド ウ ェ ア上での リ フ ァ レ ン ス デザイ ンの実行
X-Ref Target - Figure 15
図 15 : [Open Hardware Manager] の選択
2.
XAPP1212 (v1.0) 2015 年 1 月 9 日
[Hardware Manager] ページの上部にあ る [Open a new hardware target] を ク リ ッ ク し て [Next] を
ク リ ッ ク し ます (図 16)。
japan.xilinx.com
13
ハー ド ウ ェ ア上での リ フ ァ レ ン ス デザイ ンの実行
X-Ref Target - Figure 16
図 16 : [Open a New Hardware Target] の選択
3.
[Local server] を選択 し て [Next] を ク リ ッ ク し ます (図 17)。
注記 : こ の手順は、 ハー ド ウ ェ ア タ ーゲ ッ ト が Vivado Design Suite を駆動す る ホ ス ト PC へ接続
さ れてい る こ と を前提 と し ます。 Vivado CSE Server アプ リ ケーシ ョ ン を使用す る ネ ッ ト ワ ー ク 上
の 2 番目の ホ ス ト PC へハー ド ウ ェ ア タ ーゲ ッ ト を 接続す る こ と も 可能です。 詳細は、 『Vivado
Design Suite ユーザー ガ イ ド : プ ロ グ ラ ムお よ びデバ ッ グ』 (UG908) [参照 4] を参照 し て く だ さ い。
XAPP1212 (v1.0) 2015 年 1 月 9 日
japan.xilinx.com
14
ハー ド ウ ェ ア上での リ フ ァ レ ン ス デザイ ンの実行
X-Ref Target - Figure 17
図 17 : [Hardware Server Settings]
4.
[Select Hardware Target] ページで、両方のボー ド について [JTAG Clock Frequency] に [750000Hz]
を指定 し ます (図 18)。
X-Ref Target - Figure 18
図 18 : [Select Hardware Target]
5.
6.
XAPP1212 (v1.0) 2015 年 1 月 9 日
プ ロ グ ラ ムす る タ ーゲ ッ ト ボー ド を選択 し 、 [Next] を ク リ ッ ク し て [Finish] を ク リ ッ ク し ます。
[Hardware] ビ ュ ーでア ク テ ィ ブなデバ イ ス [XC7K325T_0(0)] を ク リ ッ ク し ます。
japan.xilinx.com
15
ハー ド ウ ェ ア上での リ フ ァ レ ン ス デザイ ンの実行
7.
[Hardware Device Properties] ビ ュ ーで、 [Programming file] に受信プ ラ ッ ト フ ォームのビ ッ ト ス ト
リ ーム名 (aurora_64b66b_0_exdes.bit) を指定 し 、 [Probes file] に対応す る .ltx プ ロ ーブ フ ァ
イ ル名 (debug_nets.ltx) を指定 し ます。 図 19 を参照 し て く だ さ い。
X-Ref Target - Figure 19
図 19 : [Hardware Device Properties] ビ ュ ー
8.
[Hardware] ビ ュ ーでデバ イ ス を右 ク リ ッ ク し 、 [Program Device] を ク リ ッ ク し ます (図 20)。 ビ ッ
ト ス ト リ ーム フ ァ イ ルのパ ス と 名前が正 し い こ と を確認 し て [OK] を ク リ ッ ク し ます。
X-Ref Target - Figure 20
図 20 : [Program Device...] の選択
XAPP1212 (v1.0) 2015 年 1 月 9 日
japan.xilinx.com
16
ハー ド ウ ェ ア上での リ フ ァ レ ン ス デザイ ンの実行
9.
プ ロ グ ラ ムが完了 し た ら [Hardware] ビ ュ ーでプ ロ グ ラ ム さ れた タ ーゲ ッ ト デバ イ ス を右 ク リ ッ ク
し 、 [Close Target] を ク リ ッ ク し ます (図 21)。
X-Ref Target - Figure 21
図 21 : [Close Target] の選択
10. [Hardware] ビ ュ ーで 2 つ目の タ ーゲ ッ ト プ ラ ッ ト フ ォーム を右 ク リ ッ ク し 、 [Close Target] を ク
リ ッ ク し ます (図 22)。
XAPP1212 (v1.0) 2015 年 1 月 9 日
japan.xilinx.com
17
ハー ド ウ ェ ア上での リ フ ァ レ ン ス デザイ ンの実行
X-Ref Target - Figure 22
図 22 : 2 つ目の タ ーゲ ッ ト プ ラ ッ ト フ ォ ームを開 く
11. 送信プ ラ ッ ト フ ォームのビ ッ ト ス ト リ ーム フ ァ イ ル名お よ び対応する .ltx プ ロ ーブ フ ァ イ ル名を
使用 し て、 手順 6 と 手順 7 を繰 り 返 し ます。
12. 手順 8 を繰 り 返 し てデバ イ ス をプ ロ グ ラ ム し ます。
13. プ ロ グ ラ ムが完了 し た ら [Hardware] ビ ュ ーでプ ロ グ ラ ム さ れた タ ーゲ ッ ト デバ イ ス を右 ク リ ッ ク
し 、 [Refresh Device] を ク リ ッ ク し ます (図 23)。
XAPP1212 (v1.0) 2015 年 1 月 9 日
japan.xilinx.com
18
ハー ド ウ ェ ア上での リ フ ァ レ ン ス デザイ ンの実行
X-Ref Target - Figure 23
図 23 : [Refresh Device] の選択
デザイ ンの実行
1. [Hardware] ビ ュ ーでデバ イ ス を右 ク リ ッ ク し 、 [Run Trigger] を ク リ ッ ク し ます (図 24)。
XAPP1212 (v1.0) 2015 年 1 月 9 日
japan.xilinx.com
19
ハー ド ウ ェ ア上での リ フ ァ レ ン ス デザイ ンの実行
X-Ref Target - Figure 24
図 24 : [Run Trigger] の選択
2.
表示 さ れた波形画面で、lane_up_vio_usrclk 信号 と tx_channel_up_i 信号が High であ る こ
と を確認 し ます。
3.
[Debug Probes] で [hw_vio_1] の下にあ る 次の信号を Ctrl キーを押 し なが ら ク リ ッ ク し てすべて選
択 し ます。
• channel_up_in_initclk
4.
XAPP1212 (v1.0) 2015 年 1 月 9 日
•
lane_up_vio_i
•
gtreset_from_vio_i
•
sysreset_from_vio_i
選択 し た信号上で右 ク リ ッ ク し て [Add Probes to VIO Window] を ク リ ッ ク し ます (図 25)。
japan.xilinx.com
20
ハー ド ウ ェ ア上での リ フ ァ レ ン ス デザイ ンの実行
X-Ref Target - Figure 25
図 25 : [Add Probes to VIO Window] の選択
5.
各信号について [Value] 列の値を ク リ ッ ク し て リ セ ッ ト 信号を ト グル し ます (図 26 参照)。「1」 ま た
は 「0」 を入力 し て [OK] を ク リ ッ ク し ます。
X-Ref Target - Figure 26
図 26 : リ セ ッ ト 信号の ト グル
6.
XAPP1212 (v1.0) 2015 年 1 月 9 日
channel_up_in_initclk 信号 と lane_up_vio_i 信号は Low に遷移 し 、 各 リ セ ッ ト 信号が ト
グル し た後に High へ戻 る はずです。
japan.xilinx.com
21
ハー ド ウ ェ ア上での リ フ ァ レ ン ス デザイ ンの実行
次の手順に従っ て、 波形画面で リ セ ッ ト 信号の結果を確認 し ます。
1.
2.
3.
1 つの リ セ ッ ト 信号を High に設定 し ます。
[Hardware] ビ ュ ーでデバ イ ス を右 ク リ ッ ク し 、 [Run Trigger] を ク リ ッ ク し ます。
波形表示の タ ブ を ク リ ッ ク し て、 リ セ ッ ト 信号の結果を確認 し ます (図 27)。
X-Ref Target - Figure 27
図 27 : リ セ ッ ト 信号の結果の波形表示
4.
リ セ ッ ト 信号を ト グルす る たびに、 手順 2 と 手順 3 を繰 り 返 し て結果を確認 し ます。
前述の手順で、 sysreset_from_vio_i または gtreset_from_vio_i のいずれかがアサー ト される と 、 コ
ア ( または ト ラ ンシーバー ) が リ セ ッ ト 状態にな る ため、 channel_up_in_initclk と lane_up_vio_i の
両方が Low に遷移する こ と を検証し ま し た。 ただ し、 sysreset_from_vio_i と gtreset_from_vio_i
の両方が Low の場合、 コ アは リ セ ッ ト 状態か ら遷移し、 channel_up_in_initclk と lane_up_vio_i は
両方 と も High にな り ます。
XAPP1212 (v1.0) 2015 年 1 月 9 日
japan.xilinx.com
22
リ フ ァ レ ン ス デザイ ン
リ フ ァ レ ン ス デザ
イン
表 2 に、 リ フ ァ レ ン ス デザ イ ンの詳細を示 し ます。
表 2 : リ フ ァ レ ン ス デザイ ンの詳細
パラ メ ー タ ー
説明
全般
タ ーゲ ッ ト デバ イ ス ( ス テ ッ ピ ン グ レベル、 ES、
プ ロ ダ ク シ ョ ン、 ス ピー ド グ レー ド )
Kintex-7 XC7K325T-2FFG900
ソ ース コ ー ド の提供
あり
ソ ース コ ー ド の形式
VHDL/Verilog (一部は暗号化済み)
既存のザ イ リ ン ク ス アプ リ ケーシ ョ ン ノ ー ト /
リ フ ァ レ ン ス デザ イ ン、 Vivado IP カ タ ロ グ、 サー
ド パーテ ィ か ら デザ イ ンへの コ ー ド /IP の使用
Vivado IP カ タ ロ グ か ら 生成 さ れ た Aurora
コ ア を使用
シ ミ ュ レーシ ョ ン
論理シ ミ ュ レーシ ョ ンの実施
なし
タ イ ミ ン グ シ ミ ュ レーシ ョ ンの実施
なし
論理 シ ミ ュ レ ー シ ョ ン お よ び タ イ ミ ン グ シ ミ ュ
レーシ ョ ンでのテ ス ト ベンチの利用
N/A
テ ス ト ベンチの形式
N/A
使用 し たシ ミ ュ レー タ /バージ ョ ン
N/A
SPICE/IBIS シ ミ ュ レーシ ョ ンの実施
なし
イ ン プ リ メ ン テーシ ョ ン
使用 し た合成ツール/バージ ョ ン
Vivado Design Suite 2014.1
使用し た イ ンプ リ メ ンテーシ ョ ン ツール/バージ ョ ン
Vivado Design Suite 2014.1
ス タ テ ィ ッ ク タ イ ミ ン グ解析の実施
あり
ハー ド ウ ェ ア検証
ま とめ
ハー ド ウ ェ ア検証の実施
あり
使用 し たハー ド ウ ェ ア プ ラ ッ ト フ ォーム
Kintex-7 FPGA KC705 評価キ ッ ト
Kintex-7 FPGA KC705 評価キ ッ ト は、 LogiCORE IP Aurora 64B/66B コ ア を実装お よ びテ ス ト す る た
めの最適なプ ラ ッ ト フ ォーム を提供 し ます。 こ のアプ リ ケーシ ョ ン ノ ー ト で説明 し た手順に従 う と 、 ア
プ リ ケーシ ョ ンに応 じ て Aurora 64B/66B シ ンプ レ ッ ク ス デザ イ ン を検証 し 、 拡張す る こ と がで き ます
KC705 ボー ド 、 ク ロ ッ ク ソ ース、 お よ び Vivado Design Suite を使用す る だけで、 さ ま ざ ま な コ ン フ ィ
ギ ュ レーシ ョ ン を素早 く 評価で き ます。
参考資料
こ のアプ リ ケーシ ョ ン ノ ー ト の参考資料は次の と お り です。
1.
2.
3.
4.
5.
改訂履歴
『LogiCORE IP Aurora 64B/66B 製品ガ イ ド 』 (PG074)
『Kintex-7 FPGA KC705 評価キ ッ ト ス タ ー ト ア ッ プ ガ イ ド 』 (UG883)
『Vivado Design Suite ユーザー ガ イ ド : IP を使用 し た設計』 (UG896)
『Vivado Design Suite ユーザー ガ イ ド :プ ロ グ ラ ムお よ びデバ ッ グ』 (UG908)
『エンベデ ッ ド シ ス テ ム ツール リ フ ァ レ ン ス マニ ュ アル』 (UG111)
次の表に、 こ の文書の改訂履歴を示 し ます。
XAPP1212 (v1.0) 2015 年 1 月 9 日
japan.xilinx.com
23
Notice of Disclaimer
日付
バージ ョ ン
2015 年 1 月 9 日
1.0
内容
初版
Notice of
Disclaimer
The information disclosed to you hereunder (the “Materials”) is provided solely for the selection and use
of Xilinx products.To the maximum extent permitted by applicable law:(1) Materials are made available
"AS IS" and with all faults, Xilinx hereby DISCLAIMS ALL WARRANTIES AND CONDITIONS,
EXPRESS, IMPLIED, OR STATUTORY, INCLUDING BUT NOT LIMITED TO WARRANTIES OF
MERCHANTABILITY, NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE;
and (2) Xilinx shall not be liable (whether in contract or tort, including negligence, or under any other
theory of liability) for any loss or damage of any kind or nature related to, arising under, or in connection
with, the Materials (including your use of the Materials), including for any direct, indirect, special,
incidental, or consequential loss or damage (including loss of data, profits, goodwill, or any type of loss
or damage suffered as a result of any action brought by a third party) even if such damage or loss was
reasonably foreseeable or Xilinx had been advised of the possibility of the same.Xilinx assumes no
obligation to correct any errors contained in the Materials or to notify you of updates to the Materials or
to product specifications.You may not reproduce, modify, distribute, or publicly display the Materials
without prior written consent.Certain products are subject to the terms and conditions of the Limited
Warranties which can be viewed at http://www.xilinx.com/warranty.htm; IP cores may be subject to
warranty and support terms contained in a license issued to you by Xilinx.Xilinx products are not
designed or intended to be fail-safe or for use in any application requiring fail-safe performance; you
assume
sole
risk
and
liability
for
use
of
Xilinx
products
in
Critical
Applications:http://www.xilinx.com/warranty.htm#critapps.
Automotive
Applications
Disclaimer
XILINX PRODUCTS ARE NOT DESIGNED OR INTENDED TO BE FAIL-SAFE, OR FOR USE IN
ANY APPLICATION REQUIRING FAIL-SAFE PERFORMANCE, SUCH AS APPLICATIONS
RELATED TO:(I) THE DEPLOYMENT OF AIRBAGS, (II) CONTROL OF A VEHICLE, UNLESS
THERE IS A FAIL-SAFE OR REDUNDANCY FEATURE (WHICH DOES NOT INCLUDE USE OF
SOFTWARE IN THE XILINX DEVICE TO IMPLEMENT THE REDUNDANCY) AND A WARNING
SIGNAL UPON FAILURE TO THE OPERATOR, OR (III) USES THAT COULD LEAD TO DEATH
OR PERSONAL INJURY.CUSTOMER ASSUMES THE SOLE RISK AND LIABILITY OF ANY USE
OF XILINX PRODUCTS IN SUCH APPLICATIONS.
こ の 資 料 に 関 す る フ ィ ー ド バ ッ ク お よ び リ ン ク な ど の 問 題 に つ き ま し て は、
[email protected] ま で、 ま たは各ページの右下にあ る [ フ ィ ー ド バ ッ ク 送信] ボ タ ン を ク
リ ッ ク す る と 表示 さ れ る フ ォームか ら お知 ら せ く だ さ い。 いただ き ま し た ご意見を参考に早急に対応 さ
せていただ き ます。 なお、 こ の メ ール ア ド レ スへのお問い合わせは受け付けてお り ません。 あ ら か じ め
ご了承 く だ さ い。
XAPP1212 (v1.0) 2015 年 1 月 9 日
japan.xilinx.com
24