DisplayPort v5.0、LogiCORE IP 製品ガイド (PG064)

DisplayPort v5.0
LogiCORE IP 製品ガ イ ド
Vivado Design Suite
PG064 2014 年 11 月 19 日
本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま
す。資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の
上、最新情報につきましては、必ず最新英語版をご参照ください。
目次
IP の概要
第 1 章 : 概要
ソ ース コ アのアーキ テ ク チ ャ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
シ ン ク コ アのアーキ テ ク チ ャ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
機能概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
サポー ト さ れていない機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
略語一覧 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ラ イ セ ン スお よ び注文情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5
6
6
7
7
8
第 2 章 : 製品仕様
規格 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9
パフ ォーマ ン ス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9
リ ソ ース使用量 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
ポー ト の説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11
レ ジ ス タ 空間 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21
第 3 章 : コ ア を使用するデザイ ン
ソ ース の概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60
シ ン ク の概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79
ソ ース コ ア イ ン タ ーフ ェ イ ス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86
シ ン ク コ ア イ ン タ ーフ ェ イ ス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 93
ク ロ ッ キ ン グ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101
リ セ ッ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102
共有 ロ ジ ッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102
32 ビ ッ ト GT イ ン タ ーフ ェ イ ス の設計上の注意点 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104
第 4 章 : デザイ ン フ ローの手順
コ アのカ ス タ マ イ ズお よ び生成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
パ ラ メ ー タ ーの設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
コ アへの制約 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
シ ミ ュ レーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
合成お よ び イ ンプ リ メ ン テーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
105
108
111
113
113
第 5 章 : サン プル デザイ ンの詳細
最上位のサンプル デザ イ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 114
第 6 章 : テ ス ト ベン チ
ソ ース コ ア . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 116
シ ン ク コ ア . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 116
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
2
付録 A : 検証、 互換性、 相互運用性
シ ミ ュ レーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117
ハー ド ウ ェ ア テ ス ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117
付録 B : 移行およびア ッ プグ レー ド
Vivado Design Suite への移行 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118
Vivado Design Suite でのア ッ プグ レー ド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118
付録 C : デバ ッ グ
ザ イ リ ン ク ス ウ ェ ブサ イ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 120
デバ ッ グ ツール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121
ハー ド ウ ェ ア デバ ッ グ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122
付録 D : その他の リ ソ ースおよび法的通知
ザ イ リ ン ク ス リ ソ ース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
参考資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
改訂履歴 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
法的通知 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
124
124
125
125
3
IP の概要
は じ めに
こ の LogiCORE IP について
コ アの概要
ザ イ リ ン ク ス LogiCORE™ IP DisplayPort™ イ ン タ ー コ ネ ク ト
プ ロ ト コ ルは、 民生お よ び業務用デ ィ ス プ レ イ 向けのシ リ ア
ル デジ タ ル ビデオ送受信用 と し て設計 さ れてい ます。
DisplayPort は高速シ リ アル イ ン タ ーフ ェ イ ス規格の 1 つで、
業界大手各社の PC チ ッ プセ ッ ト 、 GPU、 デ ィ ス プ レ イ コ ン
ト ロ ー ラ ー、 HDTV、 モニ タ ーでサポー ト さ れてい ます。
こ のプ ロ ト コ ルは、 VGA お よ び DVI を置 き 換わ る も のです。
ま た、 外部 イ ン タ ーフ ェ イ ス の HDMI™ お よ び内部 イ ン タ ー
フ ェ イ ス の LVDS を補完す る 規格 と し て、 よ り 高い解像度 と
フ レ ー ム レ ー ト 、 そ し て色深度の大 き いデ ィ ス プ レ イ を サ
ポー ト し ます。
機能
•
ソ ース (TX) お よ びシ ン ク (RX) コ ン ト ロ ー ラ ー
•
VESA DisplayPort 規格 v1.1a お よび v1.2 に準拠し た設計
•
1 レーン あ た り 1.62、 2.7、 ま たは 5.4Gb/s で 1、 2、 ま た
は 4 レーン をサポー ト
•
1、 2、 ま たは 4 ピ ク セル幅の ビデオ イ ン タ ーフ ェ イ ス で
最大 4K x 2K 解像度のモニ タ ーをサポー ト
•
RGB お よ び YCbCr 色空間。 最大 16 ビ ッ ト の色深度
•
レーン レー ト お よ びレーン幅の自動ネ ゴ シエーシ ョ ン
•
1Mb/s AUX チ ャ ネル上での I2C
•
セカ ンダ リ チ ャ ネル オーデ ィ オをサポー ト (2 チ ャ ネル)
°
別途 ラ イ セ ン ス に よ り DisplayPort オーデ ィ オ をサ
ポー ト (S/PDIF に よ る 2 チ ャ ネ ル)。 詳細は、 製品
ページ を参照
•
ソ ースお よ びシ ン ク コ ン ト ロ ー ラ ーで 4 つの独立 し た
ビデオ マルチ ス ト リ ーム をサポー ト
•
シ ン ク コ ン ト ロ ー ラ ーで EDID お よ び DPCD レ ジ ス タ
空間をサポー ト
サポー ト さ れる
デバ イ ス フ ァ ミ リ (1)
サポー ト さ れ る
ユーザー
イ ン タ ーフ ェ イ ス
Zynq®-7000、 7 シ リ ーズ
ネ イ テ ィ ブ ビデオ、 AXI4-Stream、 AXI4-Lite
リ ソ ース使用量
「 リ ソ ース使用量」 を参照
コ アに含まれる もの
サンプル デザ イ ン
シ ンプルな RTL ソ ース ポ リ シー メ ーカー
RTL シ ン ク ポ リ シー メ ーカー
RTL EDID ROM、 RTL I2C コ ン ト ロ ー ラ ー
テ ス ト ベンチ
Verilog お よ び VHDL
制約フ ァ イ ル
XDC
完全な タ イ ミ ン グ制約お よ び
ト ラ ン シーバーの物理制約
シ ミ ュ レーシ ョ ン
モデル
Verilog お よ び VHDL ラ ッ パー
サポー ト さ れ る
ソ フ ト ウェア
ド ラ イ バー
なし
テ ス ト 済みデザイ ン フ ロー (2)
Vivado® Design Suite
デザ イ ン入力
シ ミ ュ レーシ ョ ン
IP イ ン テ グ レー タ ー
サポー ト さ れ る シ ミ ュ レー タ については、
『Vivado Design Suite ユーザー ガ イ ド :
リ リ ース ノ ー ト ガ イ ド 、 イ ン ス ト ール
お よ び ラ イ セ ン ス』 を参照
合成
Vivado 合成
サポー ト
japan.xilinx.com/support で提供
注記 :
1. サポー ト さ れてい る デバ イ ス の一覧は、 Vivado IP カ タ ロ グ を参照
し て く だ さ い。
2. サポー ト さ れてい る ツールのバージ ョ ンは、
『Vivado Design Suite ユーザー ガ イ ド : リ リ ース ノ ー ト ガ イ ド 、
イ ン ス ト ールお よ び ラ イ セ ン ス』 を参照 し て く だ さ い。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
4
Production 製品仕様
第 1章
概要
こ の章では、 コ アの概要お よ びアプ リ ケーシ ョ ン、 ラ イ セ ン ス、 標準規格の詳細について説明 し ます。 DisplayPort コ
アは、高速 イ ン タ ーフ ェ イ ス の DisplayPort 規格に基づいて正 し く 通信を行 う ために必要な ロ ジ ッ ク をすべて備えた ソ
フ ト IP コ アです。 こ の コ アは、 標準フ ォーマ ッ ト の メ イ ン リ ン ク か ら の HD (High Definition) ビデオを最大 4 レーン
の高速シ リ アル I/O と し て送信 し ます。
ザ イ リ ン ク ス の IP は、 多 く の GPU ソ ースお よ び DisplayPort シ ン ク デバ イ ス と の間でハー ド ウ ェ ア イ ン タ ーオペ ラ
ビ リ テ ィ がテ ス ト さ れてい ます。 イ ン タ ーオペ ラ ビ リ テ ィ テ ス ト 結果の詳細は、 ザ イ リ ン ク ス販売代理店にお問い合
わせ く だ さ い。
ソ ース コ アのアーキテ ク チ ャ
ソ ース コ アは、 図 1-1 に示す 3 つの主要なブ ロ ッ ク で構成 さ れます。
•
メ イ ン リ ン ク : プ ラ イ マ リ ビデオ ス ト リ ーム を転送 し ます。
•
セカ ン ダ リ リ ン ク : メ イ ン リ ン ク のブ ラ ン キ ン グ期間にオーデ ィ オ情報を埋め込んで転送 し ます。
•
AUX チ ャ ネル : ソ ース か ら シ ン ク への専用の通信チ ャ ネルを確立 し ます。
X-Ref Target - Figure 1-1
Audio Data
Secondary
Channel
PLL
lnk_clk
GT
Tranceivers
Main Link
Main Link
Video Data
HPD
TTL Input
Differential IO
AUX Channel
Aux Channel
AXI4-Lite 32
Transmitter
UG696_2-1_101509
図 1‐1 : ソ ース コ アの最上位
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
5
第 1 章 : 概要
シ ン ク コ アのアーキテ ク チ ャ
シ ン ク コ アは、 次の 4 つの主要なブ ロ ッ ク で構成 さ れます。
•
メ イ ン リ ン ク : プ ラ イ マ リ ビデオ ス ト リ ーム を転送 し ます。
•
セカ ンダ リ リ ン ク : ビデオ ス ト リ ームのブ ラ ン キ ン グ期間に埋め込まれたオーデ ィ オ情報を AXI4-Stream (S/PDIF)
イ ン ターフ ェ イ スへ転送し ます。
•
AUX チ ャ ネル : ソ ース か ら シ ン ク への専用の通信チ ャ ネルを確立 し ます。
•
DPCD : 各 コ アの動作パ ラ メ ー タ ーを決定す る DPCD (Display Port Configuration Data) 情報を格納 し ます。
図 1-2 に、 シ ン ク コ アの最上位ブ ロ ッ ク 図を示 し ます。
X-Ref Target - Figure 1-2
Audio Data
Secondary
Channel
PLL
lnk_clk
GT
Tranceivers
Main Link
Main Link
Video Data
HPD
LVCMOS 3.3V
Differential IO
AUX Channel
Aux Channel
AXI4-Lite 32
Receiver
UG697_2-1_100909
図 1‐2 : シ ン ク コ アの最上位
機能概要
ザ イ リ ン ク ス の DisplayPort IP は、4K x 2K 解像度な ど の高性能ビデオに対応 し た ソ ース (TX) 機能 と シ ン ク (RX) 機能
の両方をサポー ト し てい ます。
こ の DisplayPort IP コ アは、 AXI4-Lite イ ン タ ーフ ェ イ ス に よ る コ ア コ ン フ ィ ギ ュ レーシ ョ ンお よ びシ ン ク / ソ ース ネ
ゴ シエーシ ョ ン に基づ き 、 レーン レー ト (1.62、 2.7、 5.4G) と レーン幅 (1、 2、 4 レーン) を自動ネ ゴ シエーシ ョ ン で
決定 し ま す。 ま た、 ベン ダー固有の DPCD お よ び外部 S/PDIF コ ン ト ロ ー ラ ーに よ る オプシ ョ ン のセ カ ン ダ リ オー
デ ィ オ を サポー ト し てい ま す。 こ の コ アは MST (Multi-Stream Transport) を実装 し てお り 、 最大 4 つの独立 し た ス ト
リ ーム をサポー ト し ます。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
6
第 1 章 : 概要
サポー ト さ れていない機能
•
自動テ ス ト 機能はサポー ト さ れません。
•
オーデ ィ オ (3 ~ 8 チ ャ ネル) はサポー ト さ れません。 DisplayPort v1.2 規格で新たに定義 さ れたオーデ ィ オ機能は
サポー ト さ れません。
•
現在の イ ンプ リ メ ン テーシ ョ ンがサポー ト す る オーデ ィ オ機能は、 DisplayPort 1.1a 規格に準 じ ます。 DisplayPort
1.2 の新 し いパケ ッ ト はサポー ト さ れません。
•
ブ リ ッ ジ ン グ機能はサポー ト さ れません。 ブ リ ッ ジ ン グ機能に必要な制御レ ジ ス タ は DPCD には含まれません。
•
MST オーデ ィ オはサポー ト さ れません。
•
eDP のオプシ ョ ン機能はサポー ト さ れません。
•
iDP はサポー ト さ れません。
•
GTC はサポー ト さ れません。
略語一覧
DisplayPort 関連文書で頻出す る 略語の一覧を示 し ます。
•
ACT : Allocation Change Trigger
•
DPCD : DisplayPort Configuration Data
•
eDP : Embedded Displayport
•
GT : Gigabit Transceiver
•
GTC : Global Time Code
•
GUID : Globally Unique ID
•
MST : Multi Stream Transport
•
SPDIF : Sony Philips Digital Interface
•
SST : Single Stream Transport
•
TU : Transfer Unit
•
VC Payload : Virtual Channel Payload
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
7
第 1 章 : 概要
ラ イ セ ン スおよび注文情報
ザ イ リ ン ク ス LogiCORE IP モジ ュ ールは、 ザ イ リ ン ク ス コ ア ラ イ セ ン ス契約に基づいて提供 さ れてい ます。 シ ミ ュ
レーシ ョ ンお よ びハー ド ウ ェ アで コ アのすべての機能を利用す る には、 コ アの ラ イ セ ン ス を ご購入いただ く 必要があ
り ます。 ザ イ リ ン ク ス LogiCORE IP の価格お よ び提供状況については、 ザ イ リ ン ク ス販売代理店にお問い合わせ く だ
さ い。
コ アの ラ イ セ ン ス に関す る 詳細は、 DisplayPort コ アの製品ページ を参照 し て く だ さ い。
注意 : ラ イ セ ン ス な し でオーデ ィ オ機能を使用 し た場合、 イ ンプ リ メ ン テーシ ョ ン時に S/PDIF と リ ー ド ソ ロ モン デ
コ ーダーの ラ イ セ ン ス が見つか ら ない と い う エ ラ ーが ツールで表示 さ れます。
こ の IP お よ びその他のザ イ リ ン ク ス LogiCORE IP に関す る 情報は、 ザ イ リ ン ク ス IP コ ア ページか ら 入手で き ます。
その他のザ イ リ ン ク ス LogiCORE IP モジ ュ ールお よ びツールの価格や提供状況については、ザ イ リ ン ク ス販売代理店
にお問い合わせ く だ さ い。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
8
第 2章
製品仕様
ザ イ リ ン ク ス LogiCORE™ IP DisplayPort™ イ ン ターコ ネ ク ト プ ロ ト コ ルは、 民生および業務用デ ィ ス プ レ イ 向けのシ
リ アル デジ タ ル ビデオ送受信用 と し て設計 さ れてい ます。 DisplayPort は高速シ リ アル イ ン タ ーフ ェ イ ス規格の 1 つ
で、 業界大手各社およ び半導体 メ ーカーの PC チ ッ プセ ッ ト 、 GPU、 デ ィ ス プ レ イ コ ン ト ロ ー ラ ー、 HDTV、 モニ タ ー
でサポー ト さ れてい ます。
規格
こ の製品ガ イ ド で説明す る IP は、 DisplayPort 規格 v1.1a お よ び DisplayPort 規格 v1.2 に準拠 し て設計 さ れてい ま す。
シ リ コ ン ス テー タ ス については、 Vivado IP カ タ ロ グでご確認 く だ さ い。
各機能 コ アは I2C 互換 イ ン タ ーフ ェ イ ス を備えてい ますが、 こ れ ら の イ ンプ リ メ ン テーシ ョ ンは I2C 規格に完全には
準拠 し てい ません。 特に、 こ の I2C イ ン タ ーフ ェ イ ス部はマルチ バ ス マ ス タ ーお よ びバ ス アービ ト レーシ ョ ン をサ
ポー ト し てい ません。
こ の コ アは、 2 チ ャ ネル S/PDIF コ ン ト ロ ー ラ ーお よ び DisplayPort 規格 v1.1a の 2 チ ャ ネルを タ ーゲ ッ ト に し たオー
デ ィ オ ロ ジ ッ ク をサポー ト し てい ます。
パフ ォ ーマ ン ス
こ のセ ク シ ョ ンでは、 こ の コ アのパフ ォーマ ン ス の詳細について説明 し ます。
最大周波数
こ の コ アは 6 つの ク ロ ッ ク ド メ イ ン を使用 し ます。 ク ロ ッ ク ド メ イ ン の詳細は、 第 3 章の 「 ク ロ ッ キ ン グ」 を参照
し て く だ さ い。
表 2-1 に、 ク ロ ッ ク の範囲を示 し ます。
表 2‐1 : ク ロ ッ ク の範囲
ク ロ ッ ク ド メ イ ン
最小値
最大値
lnk_clk
81MHz
270MHz(1)
リ ンク クロッ ク
vid_clk
13.5MHz
150MHz
ビデオ ク ロ ッ ク
s_axi_aclk
25MHz
135MHz
ホス ト プロセッサ ク ロ ッ ク
aud_clk
16MHz
100MHz
オーデ ィ オ ク ロ ッ ク (512 * オーデ ィ オ サンプル レー ト )
DisplayPort v5.0
PG064 2014 年 11 月 19 日
説明
japan.xilinx.com
9
第 2 章 : 製品仕様
表 2‐1 : ク ロ ッ ク の範囲 (続き)
最小値
最大値
spdif_sample_clk
ク ロ ッ ク ド メ イ ン
16MHz
100MHz
説明
≥ オーデ ィ オ ク ロ ッ ク
aud_axis_aclk
16MHz
100MHz
≥ オーデ ィ オ ク ロ ッ ク
1. HBR2 をサポー ト し たデバ イ ス の場合。 HBR の場合の リ ン ク レー ト は 135MHz です。
リ ソ ース使用量
表 2-2 と 表 2-3 に、Kintex-7 FPGA フ ァ ミ リ を使用 し た場合の DisplayPort シ ン ク コ アお よ び ソ ース コ アの リ ソ ース使
用量を示 し ます。 こ れ ら は、 Vivado Design Suite を用いて得 ら れた数値です。 こ れ ら の値は、 実際のハー ド ウ ェ ア バ
リ デーシ ョ ン シ ス テ ムか ら 取得 し てい ます。
表 2‐2 : リ ソ ース使用量 (TX、 v1.2 プ ロ ト コ ル、 5.4Gbps リ ン ク [XC7K325T FFG900‐2])
ス ラ イ ス LUT
ビデオ イ ン タ ー フ ェ イ ス コ ン フ ィ ギ ュ レーシ ョ ン
ス ラ イ ス レジス タ
16 ビ ッ ト GT イ ン タ ー フ ェ イ ス
ス ラ イ ス LUT
ス ラ イ ス レジス タ
32 ビ ッ ト GT イ ン タ ー フ ェ イ ス
SST コ ン フ ィ ギ ュ レーシ ョ ン
RGB_10bpc_2lanes_1pixel_SST
4767
3787
4808
4242
RGB_8bpc_2lanes_1pixel_SST
4618
3619
6446
4432
RGB_8bpc_2lanes_1pixel_SST_with_audio
6072
5542
7006
6427
RGB_8bpc_4lanes_4pixel_SST
4927
4809
7703
6377
RGB_10bpc_4lanes_4pixel_MSTx4streams
19606
15729
33881
22144
RGB_8bpc_4lanes_4pixel_MSTx2streams
10529
8810
17588
12418
RGB_8bpc_4lanes_4pixel_MSTx4streams
18412
14817
32229
21136
YCrCb_10bpc_4lanes_4pixel_MSTx4streams
19992
15473
34259
21888
ス ラ イ ス LUT
ス ラ イ ス レジス タ
MST コ ン フ ィ ギ ュ レーシ ョ ン
表 2‐3 : リ ソ ース使用量 (RX、 v1.2 プ ロ ト コル、 5.4Gbps リ ン ク [XC7K325T FFG900‐2])
ス ラ イ ス LUT
ビデオ イ ン タ ー フ ェ イ ス コ ン フ ィ ギ ュ レーシ ョ ン
ス ラ イ ス レジス タ
16 ビ ッ ト GT イ ン タ ー フ ェ イ ス
32 ビ ッ ト GT イ ン タ ー フ ェ イ ス
SST コ ン フ ィ ギ ュ レーシ ョ ン
RGB_10bpc_2lanes_1pixel_SST
5439
5532
9928
6817
RGB_8bpc_2lanes_1pixel_SST
5358
5436
9496
6692
RGB_8bpc_2lanes_1pixel_SST_with_audio
6097
6454
10408
8161
RGB_8bpc_4lanes_4pixel_SST
8622
8297
17191
10859
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
10
第 2 章 : 製品仕様
表 2‐3 : リ ソ ース使用量 (RX、 v1.2 プ ロ ト コル、 5.4Gbps リ ン ク [XC7K325T FFG900‐2]) (続き) (続き)
ス ラ イ ス LUT
ビデオ イ ン タ ー フ ェ イ ス コ ン フ ィ ギ ュ レーシ ョ ン
ス ラ イ ス レジス タ
16 ビ ッ ト GT イ ン タ ー フ ェ イ ス
ス ラ イ ス LUT
ス ラ イ ス レジス タ
32 ビ ッ ト GT イ ン タ ー フ ェ イ ス
MST コ ン フ ィ ギ ュ レーシ ョ ン
RGB_10bpc_4lanes_4pixel_MSTx4streams
22671
19232
67817
30501
RGB_8bpc_4lanes_4pixel_MSTx2streams
14455
12880
38470
19016
RGB_8bpc_4lanes_4pixel_MSTx4streams
22467
19016
66068
30310
YCrCb_10bpc_4lanes_4pixel_MSTx4streams
22778
19264
67933
30533
ポー ト の説明
こ のセ ク シ ョ ンでは、 DisplayPort コ アのポー ト 一覧を示 し ます。
表 2‐4 : ソ ース コ アの I/O 信号
信号名a
コ アから見た方向
説明
DisplayPort プ ロ セ ッ サ イ ン タ ー フ ェ イ ス
s_axi_aclk
入力
AXI バ ス ク ロ ッ ク
s_axi_aresetn
入力
AXI リ セ ッ ト 。 ア ク テ ィ ブ Low です。
s_axi_awaddr[31:0]
入力
書き 込みア ド レ ス
s_axi_awprot[2:0]
入力
プロテ クシ ョ ン タ イプ
s_axi_awvalid
入力
書き 込みア ド レ ス の Valid 信号
s_axi_awready
出力
書き 込みア ド レ ス の Ready 信号
s_axi_wdata[31:0]
入力
書き 込みデー タ バ ス
s_axi_wstrb[3:0]
入力
書き 込みス ト ロ ーブ
s_axi_wvalid
入力
書き 込みの Valid 信号
s_axi_wready
出力
書き 込みの Ready 信号
s_axi_bresp[1:0]
出力
書き 込み応答
s_axi_bvalid
出力
書き 込み応答の Valid 信号
s_axi_bready
入力
応答の Ready 信号
s_axi_araddr[31:0]
入力
読み出 し ア ド レ ス
s_axi_arprot[2:0]
入力
プロテ クシ ョ ン タ イプ
s_axi_arvalid
入力
読み出 し ア ド レ ス の Valid 信号
s_axi_arready
出力
読み出 し ア ド レ ス の Ready 信号
s_axi_rdata[31:0]
出力
読み出 し デー タ
s_axi_rresp[1:0]
出力
読み出 し 応答
s_axi_rvalid
出力
読み出 し の Valid 信号
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
11
第 2 章 : 製品仕様
表 2‐4 : ソ ース コ アの I/O 信号 (続き)
信号名a
コ アから見た方向
説明
s_axi_rready
入力
読み出 し の Ready 信号
axi_int
出力
AXI 割 り 込み出力
tx_vid_clk
入力
ユーザー デー タ ビデオ ク ロ ッ ク
tx_vid_vsync
入力
垂直同期パル ス。 立ち上が り エ ッ ジでア ク テ ィ ブ
です。
tx_vid_hsync
入力
水平同期パル ス。 立ち上が り エ ッ ジでア ク テ ィ ブ
です。
tx_vid_oddeven
入力
奇数/偶数フ ィ ール ド セ レ ク ト 。 奇数 (1) ま たは偶
数 (0) フ ィ ール ド 極性を示 し ます。
tx_vid_enable
入力
ユーザー デー タ ビデオ イ ネーブル
tx_vid_pixel0[47:0]
入力
ビデオ デー タ
tx_vid_pixel1[47:0]
入力
ビデオ デー タ
tx_vid_pixel2[47:0]
入力
ビデオ デー タ
tx_vid_pixel3[47:0]
入力
ビデオ デー タ
tx_vid_rst
入力
ユーザー ビデオ リ セ ッ ト
lnk_clk_p
入力
p ピ ンか ら の GT 基準差動 ク ロ ッ ク 入力
lnk_clk_n
入力
n ピ ンか ら の GT 基準差動 ク ロ ッ ク 入力
lnk_clk
出力
FPGA フ ァ ブ リ ッ ク の基準 ク ロ ッ ク
lnk_tx_lane_p[3:0]
出力
高速レーン シ リ アル デー タ
lnk_tx_lane_n[3:0]
出力
高速レーン シ リ アル デー タ
ユーザー デー タ イ ン タ ー フ ェ イ ス
メ イ ン リ ン ク イ ン タ ー フ ェ イ ス
AUX チ ャ ネル イ ン タ ー フ ェ イ ス
aux_tx_io_p
入力/出力
正極性の AUX マ ンチ ェ ス タ ー II デー タ
aux_tx_io_n
入力/出力
負極性の AUX マ ンチ ェ ス タ ー II デー タ
HPD イ ン タ ー フ ェ イ ス
tx_hpd
入力
ホ ッ ト プ ラ グ検出
S/PDIF オーデ ィ オ プ ロ セ ッ サ イ ン タ ー フ ェ イ ス
aud_s_axi_aclk
入力
AXI バ ス ク ロ ッ ク
aud_s_axi_aresetn
入力
AXI リ セ ッ ト 。 ア ク テ ィ ブ Low です。
aud_s_axi_awaddr[31:0]
入力
書き 込みア ド レ ス
aud_s_axi_awprot[2:0]
入力
プロテ クシ ョ ン タ イプ
aud_s_axi_awvalid
入力
書き 込みア ド レ ス の Valid 信号
aud_s_axi_awready
出力
書き 込みア ド レ ス の Ready 信号
aud_s_axi_wdata[31:0]
入力
書き 込みデー タ バ ス
aud_s_axi_wstrb[3:0]
入力
書き 込みス ト ロ ーブ
aud_s_axi_wvalid
入力
書き 込みの Valid 信号
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
12
第 2 章 : 製品仕様
表 2‐4 : ソ ース コ アの I/O 信号 (続き)
信号名a
コ アから見た方向
説明
aud_s_axi_wready
出力
書き 込みの Ready 信号
aud_s_axi_bresp[1:0]
出力
書き 込み応答
aud_s_axi_bvalid
出力
書き 込み応答の Valid 信号
aud_s_axi_bready
入力
応答の Ready 信号
aud_s_axi_araddr[31:0]
入力
読み出 し ア ド レ ス
aud_s_axi_arprot[2:0]
入力
プロテ クシ ョ ン タ イプ
aud_s_axi_arvalid
入力
読み出 し ア ド レ ス の Valid 信号
aud_s_axi_arready
出力
読み出 し ア ド レ ス の Ready 信号
aud_s_axi_rdata[31:0]
出力
読み出 し デー タ
aud_s_axi_rresp[1:0]
出力
読み出 し 応答
aud_s_axi_rvalid
出力
読み出 し の Valid 信号
aud_s_axi_rready
入力
読み出 し の Ready 信号
aud_axi_int
出力
AXI 割 り 込み出力
入力
S/PDIF チ ャ ネル入力
aud_clk
入力
オーデ ィ オ サンプル ク ロ ッ ク (512 * fs)。 (fs = サ
ンプ リ ン グ周波数)
aud_rst
入力
オーデ ィ オ イ ン タ ーフ ェ イ ス リ セ ッ ト 。ア ク テ ィ
ブ High です。
aud_axis_aclk
入力
オーデ ィ オ ス ト リ ー ミ ン グ イ ン タ ーフ ェ イ ス ク
ロ ッ ク (≥ 512 * fs)
aud_axis_aresetn
入力
オーデ ィ オ ス ト リ ー ミ ン グ イ ン タ ーフ ェ イ ス リ
セ ッ ト 。 ア ク テ ィ ブ Low です。
spdif_sample_clk
入力
S/PDIF コ ン ト ロ ー ラ ー サンプ リ ン グ ク ロ ッ ク
(≥ 512*fs)
lnk_clk_ibufds_out
出力
IBUFDS か ら の リ ン ク ク ロ ッ ク 出力
common_qpll_lock_out
出力
ア ク テ ィ ブ High の QPLL ロ ッ ク 信号
common_qpll_clk_out
出力
QPLL ク ロ ッ ク
common_qpll_ref_clk_out
出力
QPLL 基準 ク ロ ッ ク 出力
pll_lock_out
出力
ア ク テ ィ ブ High の PLL ロ ッ ク 信号
pll0_clk_out
出力
PLL ク ロ ッ ク
pll0_ref_clk_out
出力
PLL 基準 ク ロ ッ ク 出力
pll1_clk_out
出力
PLL ク ロ ッ ク
pll1_ref_clk_out
出力
PLL 基準 ク ロ ッ ク 出力
入力
IBUFDS か ら の リ ン ク ク ロ ッ ク 入力
S/PDIF イ ン タ ー フ ェ イ ス
spdif_in
オーデ ィ オ ク ロ ッ ク イ ン タ ー フ ェ イ ス
コ アに含まれた共有ロ ジ ッ ク
サン プル デザイ ン に含まれた共有ロ ジ ッ ク
lnk_clk_ibufds
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
13
第 2 章 : 製品仕様
表 2‐4 : ソ ース コ アの I/O 信号 (続き)
信号名a
コ アから見た方向
説明
common_qpll_lock
入力
ア ク テ ィ ブ High の QPLL ロ ッ ク 信号
common_qpll_clk
入力
QPLL ク ロ ッ ク
common_qpll_ref_clk
入力
QPLL 基準 ク ロ ッ ク 入力
pll_lock
入力
ア ク テ ィ ブ High の PLL ロ ッ ク 信号
pll0_clk
入力
PLL ク ロ ッ ク
pll0_ref_clk
入力
PLL 基準 ク ロ ッ ク 入力
pll1_clk
入力
PLL ク ロ ッ ク
pll1_ref_clk
入力
PLL 基準 ク ロ ッ ク 入力
link_bw_high_out
出力
ア ク テ ィ ブ High の ス テー タ ス信号。 リ ン ク レー
ト が 2.7Gbps の場合に High です。
link_bw_hbr2_out
出力
ア ク テ ィ ブ High の ス テー タ ス信号。 リ ン ク レー
ト が 5.4Gbps の場合に High です。
bw_changed_out
出力
リ ン ク レ ー ト の コ ン フ ィ ギ ュ レ ーシ ョ ン が変化
し た こ と を示すス テー タ ス信号
phy_pll_reset_out
出力
リ ン ク 層に よ っ て駆動 さ れ る PHY リ セ ッ ト
a. s_ ま たは m_ で始ま る 信号名は、 それぞれス レーブ ま たはマ ス タ ー イ ン タ ーフ ェ イ ス であ る こ と を示 し ます。
表 2‐5 : シ ン ク コ アの I/O 信号
信号名a
コ アから 見た方向
説明
DisplayPort プ ロ セ ッ サ イ ン タ ー フ ェ イ ス
s_axi_aclk
入力
AXI バス ク ロ ッ ク
s_axi_aresetn
入力
AXI リ セ ッ ト 。 ア ク テ ィ ブ Low です。
s_axi_awaddr[31:0]
入力
書 き 込みア ド レ ス
s_axi_awprot[2:0]
入力
プロテク シ ョ ン タ イプ
s_axi_awvalid
入力
書 き 込みア ド レ ス の Valid 信号
s_axi_awready
出力
書 き 込みア ド レ ス の Ready 信号
s_axi_wdata[31:0]
入力
書 き 込みデー タ バス
s_axi_wstrb[3:0]
入力
書 き 込みス ト ロ ーブ
s_axi_wvalid
入力
書 き 込みの Valid 信号
s_axi_wready
出力
書 き 込みの Ready 信号
s_axi_bresp[1:0]
出力
書 き 込み応答
s_axi_bvalid
出力
書 き 込み応答の Valid 信号
s_axi_bready
入力
応答の Ready 信号
s_axi_araddr[31:0]
入力
読み出 し ア ド レ ス
s_axi_arprot[2:0]
入力
プロテク シ ョ ン タ イプ
s_axi_arvalid
入力
読み出 し ア ド レ ス の Valid 信号
s_axi_arready
出力
読み出 し ア ド レ ス の Ready 信号
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
14
第 2 章 : 製品仕様
表 2‐5 : シ ン ク コ アの I/O 信号 (続き)
信号名a
コ アから 見た方向
説明
s_axi_rdata[31:0]
出力
読み出 し デー タ
s_axi_rresp[1:0]
出力
読み出 し 応答
s_axi_rvalid
出力
読み出 し の Valid 信号
s_axi_rready
入力
読み出 し の Ready 信号
axi_int
出力
AXI 割 り 込み出力
rx_vid_clk
入力
ユーザー デー タ ビデオ ク ロ ッ ク
rx_vid_vsync
出力
垂直同期パルス。 立ち上が り エ ッ ジでア ク テ ィ ブです。
rx_vid_hsync
出力
水平同期パルス。 立ち上が り エ ッ ジでア ク テ ィ ブです。
vid_hsync 信号は新 し い ラ イ ン を開始す る タ イ ミ ン グで
のみアサー ト し ます。
rx_vid_oddeven
出力
奇数/偶数フ ィ ール ド セ レ ク ト 。 奇数 (1) ま たは偶数 (0)
フ ィ ール ド 極性を示 し ます。
rx_vid_enable
出力
ユーザー デー タ ビデオ イ ネーブル
rx_vid_pixel0[47:0]
出力
ビデオ デー タ
rx_vid_pixel1[47:0]
出力
ビデオ デー タ
rx_vid_pixel2[47:0]
出力
ビデオ デー タ
rx_vid_pixel3[47:0]
出力
ビデオ デー タ
rx_vid_rst
入力
ユーザー ビデオ リ セ ッ ト
rx_vid_pixel_mode
出力
ビデオ ピ ク セル モー ド
rx_vid_msa_hres
出力
メ イ ン ス ト リ ーム ビデオ ソ ース の水平解像度
rx_vid_msa_vres
出力
メ イ ン ス ト リ ーム ビデオ ソ ース の垂直解像度
lnk_clk
出力
FPGA フ ァ ブ リ ッ ク の基準 ク ロ ッ ク
lnk_clk_p
入力
p ピ ンか ら の GT 基準差動 ク ロ ッ ク 入力
lnk_clk_n
入力
n ピ ンか ら の GT 基準差動 ク ロ ッ ク 入力
lnk_rx_lane_p[3:0]
入力
高速レーン シ リ アル デー タ
lnk_rx_lane_n[3:0]
入力
高速レーン シ リ アル デー タ
lnk_m_vid[23:0]
出力
ク ロ ッ ク 生成用の M 値
lnk_n_vid[23:0]
出力
ク ロ ッ ク 生成用の N 値
lnk_m_aud[23:0]
出力
オーデ ィ オ ク ロ ッ ク 生成用の M 値
lnk_n_aud[23:0]
出力
オーデ ィ オ ク ロ ッ ク 生成用の N 値
ユーザー デー タ イ ン タ ー フ ェ イ ス
メ イ ン リ ン ク イ ン タ ー フ ェ イ ス
AUX チ ャ ネル イ ン タ ー フ ェ イ ス
aux_rx_io_p
入力/出力
正極性の AUX マ ンチ ェ ス タ ー II デー タ
aux_rx_io_n
入力/出力
負極性の AUX マ ンチ ェ ス タ ー II デー タ
I2C イ ン タ ー フ ェ イ ス
i2c_sda_in
DisplayPort v5.0
PG064 2014 年 11 月 19 日
入力
I2C シ リ アル デー タ 入力
japan.xilinx.com
15
第 2 章 : 製品仕様
表 2‐5 : シ ン ク コ アの I/O 信号 (続き)
信号名a
コ アから 見た方向
説明
i2c_sda_enable_n
出力
I2C デー タ 出力 イ ネーブル。 ア ク テ ィ ブ Low です。
i2c_scl_in
入力
I2C シ リ アル ク ロ ッ ク 入力
i2c_scl_enable_n
出力
I2C シ リ アル ク ロ ッ ク 出力 イ ネーブル。 ア ク テ ィ ブ Low
です。
出力
ホ ッ ト プ ラ グ検出
HPD イ ン タ ー フ ェ イ ス
rx_hpd
S/PDIF オーデ ィ オ プ ロ セ ッ サ イ ン タ ー フ ェ イ ス
aud_s_axi_aclk
入力
AXI バス ク ロ ッ ク
aud_s_axi_aresetn
入力
AXI リ セ ッ ト 。 ア ク テ ィ ブ Low です。
aud_s_axi_awaddr[31:0]
入力
書 き 込みア ド レ ス
aud_s_axi_awprot[2:0]
入力
プロテク シ ョ ン タ イプ
aud_s_axi_awvalid
入力
書 き 込みア ド レ ス の Valid 信号
aud_s_axi_awready
出力
書 き 込みア ド レ ス の Ready 信号
aud_s_axi_wdata[31:0]
入力
書 き 込みデー タ バス
aud_s_axi_wstrb[3:0]
入力
書 き 込みス ト ロ ーブ
aud_s_axi_wvalid
入力
書 き 込みの Valid 信号
aud_s_axi_wready
出力
書 き 込みの Ready 信号
aud_s_axi_bresp[1:0]
出力
書 き 込み応答
aud_s_axi_bvalid
出力
書 き 込み応答の Valid 信号
aud_s_axi_bready
入力
応答の Ready 信号
aud_s_axi_araddr[31:0]
入力
読み出 し ア ド レ ス
aud_s_axi_arprot[2:0]
入力
プロテク シ ョ ン タ イプ
aud_s_axi_arvalid
入力
読み出 し ア ド レ ス の Valid 信号
aud_s_axi_arready
出力
読み出 し ア ド レ ス の Ready 信号
aud_s_axi_rdata[31:0]
出力
読み出 し デー タ
aud_s_axi_rresp[1:0]
出力
読み出 し 応答
aud_s_axi_rvalid
出力
読み出 し の Valid 信号
aud_s_axi_rready
入力
読み出 し の Ready 信号
出力
AXI 割 り 込み出力。 S/PDIF コ ン ト ロ ー ラ ーか ら の AXI
割 り 込みです。
入力
オーデ ィ オ サンプル ク ロ ッ ク (512 * fs)。 (fs = サンプ リ
ン グ周波数)
入力
オーデ ィ オ イ ン タ ーフ ェ イ ス の リ セ ッ ト 。 ア ク テ ィ ブ
High です。
入力
オーデ ィ オ ス ト リ ー ミ ン グ イ ン タ ーフ ェ イ ス ク ロ ッ ク
(≥ 512 * fs)
aud_axi_int
オーデ ィ オ ク ロ ッ ク イ ン タ ー フ ェ イ ス
aud_clk
aud_rst
aud_axis_aclk
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
16
第 2 章 : 製品仕様
表 2‐5 : シ ン ク コ アの I/O 信号 (続き)
信号名a
コ アから 見た方向
説明
入力
オーデ ィ オ ス ト リ ー ミ ン グ イ ン タ ーフ ェ イ ス の リ セ ッ
ト 。 ア ク テ ィ ブ Low です。
出力
S/PDIF チ ャ ネル出力
lnk_clk_ibufds_out
出力
IBUFDS か ら の基準 ク ロ ッ ク 出力
common_qpll_lock_out
出力
ア ク テ ィ ブ High の QPLL ロ ッ ク 信号 (GTX、 GTH)
common_qpll_clk_out
出力
QPLL ク ロ ッ ク (GTX、 GTH)
common_qpll_ref_clk_out
出力
QPLL 基準 ク ロ ッ ク 出力 (GTX、 GTH)
pll_lock_out
出力
ア ク テ ィ ブ High の PLL ロ ッ ク 信号 (GTP)
pll0_clk_out
出力
PLL ク ロ ッ ク (GTP)
pll0_ref_clk_out
出力
PLL 基準 ク ロ ッ ク 出力 (GTP)
pll1_clk_out
出力
PLL ク ロ ッ ク (GTP)
pll1_ref_clk_out
出力
PLL 基準 ク ロ ッ ク 出力 (GTP)
lnk_clk_ibufds
入力
IBUFDS か ら の基準 ク ロ ッ ク 入力
common_qpll_lock
入力
ア ク テ ィ ブ High の QPLL ロ ッ ク 信号 (GTX、 GTH)
common_qpll_clk
入力
QPLL ク ロ ッ ク (GTX、 GTH)
common_qpll_ref_clk
入力
QPLL 基準 ク ロ ッ ク 入力 (GTX、 GTH)
pll_lock
入力
ア ク テ ィ ブ High の PLL ロ ッ ク 信号
pll0_clk
入力
PLL ク ロ ッ ク (GTP)
pll0_ref_clk
入力
PLL 基準 ク ロ ッ ク 入力 (GTP)
pll1_clk
入力
PLL ク ロ ッ ク (GTP)
pll1_ref_clk
入力
PLL 基準 ク ロ ッ ク 入力 (GTP)
link_bw_high_out
出力
ア ク テ ィ ブ High の ス テー タ ス信号。 リ ン ク レー ト が
2.7Gbps の場合に High です。
link_bw_hbr2_out
出力
ア ク テ ィ ブ High の ス テー タ ス信号。 リ ン ク レー ト が
5.4Gbps の場合に High です。
bw_changed_out
出力
リ ン ク レー ト の コ ン フ ィ ギ ュ レーシ ョ ンが変化 し た こ
と を示すス テー タ ス信号
phy_pll_reset_out
出力
リ ン ク 層に よ っ て駆動 さ れ る PHY リ セ ッ ト
aud_axis_aresetn
S/PDIF イ ン タ ー フ ェ イ ス
spdif_out
コ アに含まれた共有ロ ジ ッ ク
サン プル デザイ ン に含まれた共有ロ ジ ッ ク
a. s_ ま たは m_ で始ま る 信号名は、 それぞれス レーブ ま たはマ ス タ ー イ ン タ ーフ ェ イ ス であ る こ と を示 し ます。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
17
第 2 章 : 製品仕様
オーデ ィ オ ス ト リ ー ミ ン グ信号
表 2-6 に、 DisplayPort ソ ース オーデ ィ オ ス ト リ ー ミ ン グ信号を示 し ます。
重要 : オーデ ィ オ ス ト リ ー ミ ン グ信号は IP の外部ポー ト ではあ り ません。 こ れ ら の信号は、 コ アの最上位で SPDIF
コ ン ト ロ ー ラ ーに接続 さ れます。
表 2‐6 : DisplayPort ソ ース オーデ ィ オ イ ン タ ー フ ェ イ ス
信号 #
名前
方向
説明
1
tx_s_axis_audio_ingress_aclk
入力
AXI ス ト リ ー ミ ン グ ク ロ ッ ク
2
tx_s_axis_audio_ingress_aresetn
入力
ア ク テ ィ ブ Low の リ セ ッ ト
3
tx_s_axis_audio_ingress_tdata [31:0]
入力
ス ト リ ー ミ ン グ デー タ 入力
• [3:0] – PR (プ リ ア ンブル コ ー ド )
° 4'b0001 -> サブ フ レーム 1/オーデ ィ オ
ブ ロ ッ ク の開始
4'b0010
-> サブ フ レーム 1
°
4'b0011
->
サブ フ レーム 2
°
• [27:4] – オーデ ィ オ サンプル ワ ー ド
• [28] – V (Validity ビ ッ ト )
• [29] – U (ユーザー ビ ッ ト )
• [30] – C (チ ャ ネル ス テー タ ス)
• [31] – P (パ リ テ ィ )
4
tx_s_axis_audio_ingress_tid [2:0]
入力
オーデ ィ オ チ ャ ネル ID 。0 ~ 7 の範囲で指
定 し ます。
5
tx_s_axis_audio_ingress_tvalid
入力
マ ス タ ーか ら の オーデ ィ オ デー タ に対す
る Valid 信号
6
tx_s_axis_audio_ingress_tready
出力
DisplayPort ソ ース か ら の Ready 信号
表 2-7 に、 DisplayPort シ ン ク オーデ ィ オ ス ト リ ー ミ ン グの定義を示 し ます。
表 2‐7 : DisplayPort シ ン ク オーデ ィ オ イ ン タ ー フ ェ イ ス
信号 #
名前
方向
説明
1
rx_m_axis_audio_egress_aclk
入力
AXI ス ト リ ー ミ ン グ ク ロ ッ ク
2
rx_m_axis_audio_egress_aresetn
入力
ア ク テ ィ ブ Low の リ セ ッ ト
3
rx_m_axis_audio_egress_tdata [31:0]
出力
ス ト リ ー ミ ン グ デー タ 出力
• [3:0] – PR (プ リ ア ンブル コ ー ド )
° 4'b0001 -> サブ フ レーム 1/オーデ ィ
オ ブ ロ ッ ク の開始
4'b0010
-> サブ フ レーム 1
°
4'b0011
->
サブ フ レーム 2
°
• [27:4] – オーデ ィ オ サンプル ワ ー ド
• [28] – V (Validity ビ ッ ト )
• [29] – U (ユーザー ビ ッ ト )
• [30] – C (チ ャ ネル ス テー タ ス)
• [31] – P (パ リ テ ィ )
4
rx_m_axis_audio_egress_tid [2:0]
出力
オーデ ィ オ チ ャ ネル ID 。0 ~ 7 の範囲で
指定 し ます。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
18
第 2 章 : 製品仕様
表 2‐7 : DisplayPort シ ン ク オーデ ィ オ イ ン タ ー フ ェ イ ス (続き)
信号 #
方向
説明
5
rx_m_axis_audio_egress_tvalid
名前
出力
マ ス タ ーか ら のオーデ ィ オ データ に対す
る Valid 信号
6
rx_m_axis_audio_egress_tready
入力
外部ス ト リ ー ミ ン グ モジ ュ ールか ら の
Ready 信号
MST 信号
表 2-8 に、 ソ ース コ アの MST 信号を示 し ます。 ソ ース コ アのユーザー ピ ク セル幅は、 各ス ト リ ームに対 し て個別に
設定で き ます。
表 2‐8 : MST ソ ース信号
信号名
コ アから 見た方向
説明
ビデオ ス ト リ ーム 2
tx_vid_clk_stream2
入力
ユーザー デー タ ビデオ ク ロ ッ ク
tx_vid_vsync_stream2
入力
垂直同期パルス
tx_vid_hsync_stream2
入力
水平同期パルス
tx_vid_oddeven_stream2
入力
奇数/偶数フ ィ ール ド セ レ ク ト
tx_vid_enable_stream2
入力
ユーザー デー タ ビデオ イ ネーブル
tx_vid_pixel0_stream2 [47:0]
入力
ビデオ デー タ
tx_vid_pixel1_stream2 [47:0]
入力
ビデオ デー タ
tx_vid_pixel2_stream2 [47:0]
入力
ビデオ デー タ
tx_vid_pixel3_stream2 [47:0]
入力
ビデオ デー タ
tx_vid_rst_stream2
入力
ユーザー ビデオ リ セ ッ ト
tx_vid_clk_stream3
入力
ユーザー デー タ ビデオ ク ロ ッ ク
tx_vid_vsync_stream3
入力
垂直同期パルス
tx_vid_hsync_stream3
入力
水平同期パルス
tx_vid_oddeven_stream3
入力
奇数/偶数フ ィ ール ド セ レ ク ト
tx_vid_enable_stream3
入力
ユーザー デー タ ビデオ イ ネーブル
tx_vid_pixel0_stream3 [47:0]
入力
ビデオ デー タ
tx_vid_pixel1_stream3 [47:0]
入力
ビデオ デー タ
tx_vid_pixel2_stream3 [47:0]
入力
ビデオ デー タ
tx_vid_pixel3_stream3 [47:0]
入力
ビデオ デー タ
tx_vid_rst_stream3
入力
ユーザー ビデオ リ セ ッ ト
tx_vid_clk_stream4
入力
ユーザー デー タ ビデオ ク ロ ッ ク
tx_vid_vsync_stream4
入力
垂直同期パルス
tx_vid_hsync_stream4
入力
水平同期パルス
ビデオ ス ト リ ーム 3
ビデオ ス ト リ ーム 4
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
19
第 2 章 : 製品仕様
表 2‐8 : MST ソ ース信号 (続き)
信号名
コ アから 見た方向
説明
tx_vid_oddeven_stream4
入力
奇数/偶数フ ィ ール ド セ レ ク ト
tx_vid_enable_stream4
入力
ユーザー デー タ ビデオ イ ネーブル
tx_vid_pixel0_stream4 [47:0]
入力
ビデオ デー タ
tx_vid_pixel1_stream4 [47:0]
入力
ビデオ デー タ
tx_vid_pixel2_stream4 [47:0]
入力
ビデオ デー タ
tx_vid_pixel3_stream4 [47:0]
入力
ビデオ デー タ
tx_vid_rst_stream4
入力
ユーザー ビデオ リ セ ッ ト
表 2-9 に、 シ ン ク コ アの MST 信号を示 し ます。 シ ン ク コ アのユーザー ピ ク セル幅はすべての ス ト リ ームに同 じ 設定
が適用 さ れます。
表 2‐9 : MST シ ン ク信号
信号名
コ アから 見た方向
説明
ビデオ ス ト リ ーム 1
rx_vid_vsync_stream1
出力
垂直同期パルス
rx_vid_hsync_stream1
出力
水平同期パルス
rx_vid_oddeven_stream1
出力
奇数/偶数フ ィ ール ド セ レ ク ト
rx_vid_enable_stream1
出力
ユーザー デー タ ビデオ イ ネーブル
rx_vid_pixel0_stream1[47:0]
出力
ビデオ デー タ
rx_vid_pixel1_stream1[47:0]
出力
ビデオ デー タ
rx_vid_pixel2_stream1[47:0]
出力
ビデオ デー タ
rx_vid_pixel3_stream1[47:0]
出力
ビデオ デー タ
rx_vid_msa_hres_stream1
出力
メ イ ン ス ト リ ーム ビデオ ソ ース の
水平解像度
rx_vid_msa_vres_stream1
出力
メ イ ン ス ト リ ーム ビデオ ソ ース の
垂直解像度
rx_vid_vsync_stream2
出力
垂直同期パルス
rx_vid_hsync_stream2
出力
水平同期パルス
rx_vid_oddeven_stream2
出力
奇数/偶数フ ィ ール ド セ レ ク ト
rx_vid_enable_stream2
出力
ユーザー デー タ ビデオ イ ネーブル
rx_vid_pixel0_stream2[47:0]
出力
ビデオ デー タ
rx_vid_pixel1_stream2[47:0]
出力
ビデオ デー タ
rx_vid_pixel2_stream2[47:0]
出力
ビデオ デー タ
rx_vid_pixel3_stream2[47:0]
出力
ビデオ デー タ
rx_vid_msa_hres_stream2
出力
メ イ ン ス ト リ ーム ビデオ ソ ース の
水平解像度
rx_vid_msa_vres_stream2
出力
メ イ ン ス ト リ ーム ビデオ ソ ース の
垂直解像度
ビデオ ス ト リ ーム 2
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
20
第 2 章 : 製品仕様
表 2‐9 : MST シ ン ク信号 (続き)
信号名
コ アから 見た方向
説明
ビデオ ス ト リ ーム 3
rx_vid_vsync_stream3
出力
垂直同期パルス
rx_vid_hsync_stream3
出力
水平同期パルス
rx_vid_oddeven_stream3
出力
奇数/偶数フ ィ ール ド セ レ ク ト
rx_vid_enable_stream3
出力
ユーザー デー タ ビデオ イ ネーブル
rx_vid_pixel0_stream3[47:0]
出力
ビデオ デー タ
rx_vid_pixel1_stream3[47:0]
出力
ビデオ デー タ
rx_vid_pixel2_stream3[47:0]
出力
ビデオ デー タ
rx_vid_pixel3_stream3[47:0]
出力
ビデオ デー タ
rx_vid_msa_hres_stream3
出力
メ イ ン ス ト リ ーム ビデオ ソ ース の
水平解像度
rx_vid_msa_vres_stream3
出力
メ イ ン ス ト リ ーム ビデオ ソ ース の
垂直解像度
rx_vid_vsync_stream4
出力
垂直同期パルス
rx_vid_hsync_stream4
出力
水平同期パルス
rx_vid_oddeven_stream4
出力
奇数/偶数フ ィ ール ド セ レ ク ト
rx_vid_enable_stream4
出力
ユーザー デー タ ビデオ イ ネーブル
rx_vid_pixel0_stream4[47:0]
出力
ビデオ デー タ
rx_vid_pixel1_stream4[47:0]
出力
ビデオ デー タ
rx_vid_pixel2_stream4[47:0]
出力
ビデオ デー タ
rx_vid_pixel3_stream4[47:0]
出力
ビデオ デー タ
rx_vid_msa_hres_stream4
出力
メ イ ン ス ト リ ーム ビデオ ソ ース の
水平解像度
rx_vid_msa_vres_stream4
出力
メ イ ン ス ト リ ーム ビデオ ソ ース の
垂直解像度
ビデオ ス ト リ ーム 4
レ ジス タ 空間
ソ ース コ ア
DPCD (DisplayPort Configuration Data) は分散型レ ジ ス タ の集合 と し て実装 さ れてお り 、AXI4-Lite イ ン タ ーフ ェ イ ス か
ら の読み書 き が可能です。 こ れ ら の レ ジ ス タ は AXI4-Lite ド メ イ ンに同期 し 、 それ以外の ド メ イ ンには非同期 と 見な
さ れます。
コ ン フ ィ ギ ュ レーシ ョ ン空間か ら パ ラ メ ー タ ーを読み出 し 中にパ ラ メ ー タ ーが変化す る ケース と し て、 次の 2 通 り が
考え ら れます。 1 ビ ッ ト のパ ラ メ ー タ ーの場合、 変更前ま たは変更後の値の ど ち ら かが有効なデー タ と し て読み出 さ
れ ます。 複数ビ ッ ト フ ィ ール ド のパ ラ メ ー タ ーの場合、 ロ ッ ク ビ ッ ト を使用 し て読み出 し 実行中に ス テー タ ス値が
更新 さ れない よ う に し ます。 複数ビ ッ ト の コ ン フ ィ ギ ュ レーシ ョ ン デー タ については、 機能 コ アの ロ ーカル値の更新
が必要か ど う か を ト グル ビ ッ ト で示 し ます。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
21
第 2 章 : 製品仕様
表 2-10 に記載のない ビ ッ ト は予約済みで、 読み出す と 0 が返 さ れ ます。 特に記載のない限 り 、 各レ ジ ス タ のパ ワ ー
オン リ セ ッ ト 時の値は 0 です。 表 2-10 には、 ア ド レ ス オ フ セ ッ ト のみを記載 し てい ます。 ベース ア ド レ スは AXI イ
ン タ ー コ ネ ク ト に よ っ て設定 さ れます。
表 2‐10 : DisplayPort ソ ース コ アのコ ン フ ィ ギ ュ レーシ ョ ン空間
オフセ ッ ト R/W
定義
リ ン ク コ ン フ ィ ギ ュ レーシ ョ ン フ ィ ール ド
0x000
RW
LINK_BW_SET。 メ イ ン リ ン ク 帯域幅を設定 し ます。 こ の レ ジ ス タ は、 シ ン ク デバ イ ス の同 じ 名
前の DPCD レ ジ ス タ でサポー ト さ れ る 値 と 同 じ 値を使用 し ます。
• [7:0] - LINK_BW_SET : シ ン ク デバ イ ス の メ イ ン リ ン ク 帯域幅の値を設定 し ます。
° 0x06 = 1.62Gbps
° 0x0A = 2.7Gbps
° 0x14 = 5.4Gbps (7 シ リ ーズ フ ァ ミ リ を使用 し 、 プ ロ ト コ ル バージ ョ ン 1.2 の場合のみ)
0x004
RW
LANE_COUNT_SET。 ソ ース がデー タ 送信に使用する レーン数を設定 し ます。
• [4:0] - 1、 2 ま たは 4 に設定 し ます。
0x008
RW
ENHANCED_FRAME_EN
• [0] - 拡張フ レー ミ ン グ シ ン ボル シーケ ン ス を有効にす る 場合、 ソ ース に よ っ て 1 にセ ッ ト さ
れます。
0x00C
RW
TRAINING_PATTERN_SET。 リ ン ク ト レーニ ン グ モー ド を設定 し ます。
• [1:0] - 下記の 2 ビ ッ ト コ ー ド で リ ン ク ト レーニ ン グ パ タ ーン を設定 し ます。
° 00 = ト レーニ ン グ オ フ
° 01 = ト レーニ ン グ パ タ ーン 1 ( ク ロ ッ ク リ カバ リ 用)
° 10 = ト レーニ ン グ パ タ ーン 2 (チ ャ ネル等化用)
° 11 = ト レーニ ン グ パ タ ーン 3 (DisplayPort v1.2 の コ アのチ ャ ネル等化用)
0x010
RW
LINK_QUAL_PATTERN_SET。 送信する リ ン ク 品質パ タ ーン を設定 し ます。
• [1:0] - リ ン ク 品質テ ス ト パ タ ーンの送信を有効に し ます。
° 00 = リ ン ク 品質テ ス ト パ タ ーン を送信 し ない
° 01 = D10.2 テ ス ト パ タ ーン (ス ク ラ ンブルな し ) を送信
° 10 = シ ン ボル エ ラ ー レー ト 計測パ タ ーン
° 11 = PRBS7 を送信
0x014
RW
SCRAMBLING_DISABLE。 1 にセ ッ ト す る と 、 ト ラ ン ス ミ ッ タ ーは ス ク ラ ンブ ラ ーを無効に し て
すべてのシ ン ボルを送信 し ます。
• 0 - ス ク ラ ンブ リ ン グ無効
0x01C
WO
SOFTWARE_RESET。 読み出す と すべて 0 が返 さ れます。
• [0] - ソ フ ト ビデオ リ セ ッ ト : 1 にセ ッ ト す る と 、 ス ト リ ーム 1 のビデオ ロ ジ ッ ク が リ セ ッ
れます。
• [1] - ソ フ ト ビデオ リ セ ッ ト : 1 にセ ッ ト す る と 、 ス ト リ ーム 2 のビデオ ロ ジ ッ ク が リ セ ッ
れます。
• [2] - ソ フ ト ビデオ リ セ ッ ト : 1 にセ ッ ト す る と 、 ス ト リ ーム 3 のビデオ ロ ジ ッ ク が リ セ ッ
れます。
• [3] - ソ フ ト ビデオ リ セ ッ ト : 1 にセ ッ ト す る と 、 ス ト リ ーム 4 のビデオ ロ ジ ッ ク が リ セ ッ
れます。
• [7] - AUX ソ フ ト リ セ ッ ト : 1 にセ ッ ト する と 、 AUX ロ ジ ッ ク が リ セ ッ ト さ れます。
トさ
トさ
トさ
トさ
コ ア イ ネーブル
0x080
RW
DisplayPort v5.0
PG064 2014 年 11 月 19 日
TRANSMITTER_ENABLE。 ト ラ ン ス ミ ッ タ ーの基本動作を有効に し ます。
• [0] - 1 にセ ッ ト す る と 、 ス ト リ ーム送信が有効にな り ます。 0 にセ ッ ト す る と 、 メ イ ン リ ン ク
のすべての レーンが ス タ ッ フ ィ ン グ シ ン ボルを出力 し ます。
japan.xilinx.com
22
第 2 章 : 製品仕様
表 2‐10 : DisplayPort ソ ース コ アのコ ン フ ィ ギ ュ レーシ ョ ン空間 (続き)
オフセ ッ ト R/W
0x084
RW
定義
MAIN_STREAM_ENABLE。 メ イ ン リ ン ク ビデオ情報の送信を有効に し ます。
• [0] - 0 にセ ッ ト す る と 、 DisplayPort ト ラ ン ス ミ ッ タ ーのア ク テ ィ ブな レーンは No-Video (ビデ
オ信号な し ) フ ラ グが 1 にセ ッ ト さ れた VB-ID の情報のみを出力 し ます。
注記 : メ イ ン ス ト リ ームの イ ネーブル/デ ィ ス エーブル機能は VSYNC 入力に よ っ てゲーテ ィ ン グ
さ れます。 こ の レ ジ ス タ に書 き 込まれた値は、 ビデオ フ レーム境界でのみ適用 さ れます。
0x0C0
WO
FORCE_SCRAMBLER_RESET。 こ の レ ジ ス タ を読み出す と 常に 0x0 が返 さ れます。
• [0] - 1 にセ ッ ト す る と 、 ス ク ラ ンブ ラ ーを強制 リ セ ッ ト し ます。
0x0D0
RW
TX_MST_CONFIG : MST コ ン フ ィ ギ ュ レーシ ョ ン。
• [0] - MST イ ネーブル : 1 にセ ッ ト す る と 、 MST 機能が有効にな り ます。
• [1] - シ ン ク 側での VC ペ イ ロ ー ド 更新 : RO ビ ッ ト です。 DPCD レ ジ ス タ 0x2C0 (ビ ッ ト 0) か ら
読み出 し た値がセ ッ ト さ れてい る 場合、 こ のビ ッ ト が 1 にセ ッ ト さ れます。
0x0F8
RO
VERSION_REGISTER。 displayport_v5_0 の VERSION REGISTER は 32'h05_00_0_0_00 です。
• [31:24] - コ アの メ ジ ャ ー バージ ョ ン
• [23:16] - コ アのマ イ ナー バージ ョ ン
• [15:12] - コ ア バージ ョ ンの リ ビ ジ ョ ン
• [11:8] - コ アのパ ッ チ情報
• [7:0] - 内部 リ ビ ジ ョ ン
0x0FC
RO
CORE_ID。 コ ア固有の ID コ ー ド と 現在の リ ビ ジ ョ ン レベルを返 し ます。
• [31:24] - DisplayPort プ ロ ト コ ルの メ ジ ャ ー バージ ョ ン
• [23:16] - DisplayPort プ ロ ト コ ルのマ イ ナー バージ ョ ン
• [15:8] - DisplayPort プ ロ ト コ ルの リ ビ ジ ョ ン
• [7:0]
° 0x00 : 送信
° 0x01 : 受信
次に、 各種プ ロ ト コ ルお よ び コ アに対す る CORE_ID 値の例を示 し ます。
• DisplayPort v1.1a プ ロ ト コ ルの送信 コ ア : 32'h01_01_0a_00
• DisplayPort v1.2a プ ロ ト コ ルの送信 コ ア : 32'h01_02_0a _00
コ ア ID
AUX チ ャ ネル イ ン タ ー フ ェ イ ス
0x100
RW
AUX_COMMAND_REGISTER。 指定 し た長 さ の AUX チ ャ ネル コ マ ン ド を開始 し ます。
• [12] - ア ド レ ス のみ転送 イ ネーブル。 1 にセ ッ ト す る と 、 ソ ース はア ド レ ス のみの転送を開始
し ます ( こ の コ マ ン ド の後に STOP が送信 さ れ る )。
• [11:8] - AUX チ ャ ネル コ マ ン ド
° 0x8 = AUX 書 き 込み
° 0x9 = AUX 読み出 し
° 0x0 = IIC 書 き 込み
° 0x4 = IIC 書 き 込み MOT
° 0x1 = IIC 読み出 し
° 0x5 = IIC 読み出 し MOT
° 0x2 = IIC 書 き 込みス テー タ ス
• [3:0] - 現在の コ マ ン ド で転送する バ イ ト 数を指定 し ます。 こ の レ ジ ス タ の範囲は 0 (1 デー タ バ
イ ト ) ~ 15 (16 デー タ バ イ ト ) です。
0x104
WO
AUX_WRITE_FIFO。 現在の AUX チ ャ ネル コ マ ン ド の書き 込みデー タ を最大 16 バ イ ト ま で格納
す る FIFO です。
• [7:0] - AUX チ ャ ネルのバ イ ト デー タ
0x108
RW
AUX_ADDRESS。 現在の AUX チ ャ ネル コ マ ン ド のア ド レ ス を指定 し ます。
• [19:0] - AUX チ ャ ネル バース ト 開始の 20 ビ ッ ト ア ド レ ス
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
23
第 2 章 : 製品仕様
表 2‐10 : DisplayPort ソ ース コ アのコ ン フ ィ ギ ュ レーシ ョ ン空間 (続き)
オフセ ッ ト R/W
定義
0x10C
RW
AUX_CLOCK_DIVIDER。 AXI4-Lite ホ ス ト イ ン タ ーフ ェ イ ス ク ロ ッ ク か ら 内部 1MHz ク ロ ッ ク
を生成す る ための ク ロ ッ ク 分周値を格納 し ます。 こ の ク ロ ッ ク 分周レ ジ ス タ は整数分周のみをサ
ポー ト し 、 分数の AXI4-Lite ク ロ ッ ク レー ト はサポー ト し ません (た と えば 75MHz AXI4-Lite ク
ロ ッ ク の場合は 75 と 設定)。
• [7:0] - ク ロ ッ ク 分周値
• [15:8] - AUX 信号幅フ ィ ル タ ー。 ノ イ ズ フ ィ ル タ ー幅を指定 し ます。 指定可能な値は、 8、 16、
24、 32、 40 ま たは 48 です。 デフ ォ ル ト 値は 8 です。
0x110
RC
TX_USER_FIFO_OVERFLOW。ユーザー FIFO でオーバーフ ロ ーが発生 し た こ と を示 し ます。オー
バーフ ロ ー イ ベン ト は、 ビデオ レー ト と TU サ イ ズの設定が一致 し ていない場合に発生 し ます。
• [0] - FIFO_OVERFLOW_FLAG : 1 の場合、 内部 FIFO がオーバーフ ロ ー条件を検出 し た こ と を
示 し ます。 こ のビ ッ ト は読み出す と ク リ ア さ れます。
0x130
RO
INTERRUPT_SIGNAL_STATE。 割 り 込み発生の条件 と な る 信号の生の値を格納 し ます。
• [3] - REPLY_TIMEOUT : 1 の場合、 応答 タ イ ム ア ウ ト が発生 し た こ と を示 し ます。
• [2] - REPLY_STATE : 1 の場合、 応答を現在受信中であ る こ と を示 し ます。
• [1] - REQUEST_STATE : 1 の場合、 要求を現在送信中であ る こ と を示 し ます。
• [0] - HPD_STATE : DisplayPort コ ネ ク タ の HPD ピ ンの生の状態を格納 し ます。
0x134
RO
AUX_REPLY_DATA。 AUX チ ャ ネル応答中に受信 し たデー タ を最大 16 バ イ ト ま で格納す る 内部
FIFO にマ ッ ピ ン グ し ます。 応答デー タ は FIFO のバ イ ト 0 か ら 順に読み出 さ れます。 FIFO 内のバ
イ ト 数は、 要求 し たバ イ ト 数 と 一致 し ます。
• [7:0] - AUX 応答デー タ
0x138
RO
AUX_REPLY_CODE。 最後の AUX チ ャ ネル要求に対 し て受信 し た応答 コ ー ド 。 こ の コ ー ド は、
DisplayPort 規格で定義 さ れた コ ー ド に対応 し ます。
注記 : DEFER ま たは NACK が返 さ れた場合、 コ アはその コ マ ン ド を再試行 し ません。
• [1:0]
° 00 = AUX ACK
° 01 = AUX NACK
° 10 = AUX DEFER
• [3:2]
° 00 = I2C ACK
° 01 = I2C NACK
° 10 = I2C DEFER
0x13C
RW
AUX_REPLY_COUNT。 AUX チ ャ ネルで受信 し た AUX 応答 ト ラ ンザ ク シ ョ ン の数の内部カ ウ ン
タ ーです。 こ の レ ジ ス タ に書 き 込む と 、 カ ウ ン タ ーが ク リ ア さ れます。
• [7:0] - 現在の応答カ ウ ン ト
0x140
RC
INTERRUPT_STATUS。 ソ ー ス コ ア割 り 込み ス テー タ ス レ ジ ス タ 。 こ の レ ジ ス タ を読み出す と 、
すべての値が ク リ ア さ れます。 書 き 込み動作は不正で、 値が ク リ ア さ れます。
• [5] - EXT_PKT_TXD : 拡張パケ ッ ト が送信 さ れ、 コ ン ト ロ ー ラ ーは新規パケ ッ ト の受信準備が
完了 し た こ と を示 し ます。
• [4] - HPD_PULSE_DETECTED : HPD ラ イ ン でパルス が検出 さ れま し た。 パルス の長 さ は 0x150
を読み出 し て求め ます。
• [3] - REPLY_TIMEOUT : 応答 タ イ ム ア ウ ト が発生 し た こ と を示 し ます。
• [2] - REPLY_RECEIVED : AUX 応答 ト ラ ンザ ク シ ョ ンが検出 さ れた こ と を示 し ます。
• [1] - HPD_EVENT : HPD 信号の存在を コ アが検出 し た こ と を示 し ます。 こ の割 り 込みは、 HPD
検出の直後、 お よ び HPD が 2ms 失われた後にアサー ト さ れます。
• [0] - HPD_IRQ : 適切な タ イ ミ ン グで フ レー ミ ン グ さ れた IRQ が HPD 信号で検出 さ れた こ と を
示 し ます。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
24
第 2 章 : 製品仕様
表 2‐10 : DisplayPort ソ ース コ アのコ ン フ ィ ギ ュ レーシ ョ ン空間 (続き)
オフセ ッ ト R/W
定義
0x144
RW
INTERRUPT_MASK。 割 り 込みが発生 し て も axi_init 信号が アサー ト さ れない よ う に、 割 り 込み
ソ ース を個別にマ ス ク し ます。 こ れ ら のビ ッ ト を 1 にセ ッ ト す る と 、 対応す る 割 り 込み ソ ース が
マ ス ク さ れます。
電源投入時、 こ の レ ジ ス タ の ビ ッ ト はすべて 1 に リ セ ッ ト さ れます。 各マ ス ク ビ ッ ト を セ ッ ト す
る と 、 対応す る axi_int 信号はアサー ト さ れな く な り ますが、 INTERRUPT_STATUS レ ジ ス タ の イ
ベン ト 更新には影響 し ません。
• [5] - EXT_PKT_TXD : 拡張パケ ッ ト 送信割 り 込みを マ ス ク し ます。
• [4] - HPD_PULSE_DETECTED : HPD パルス割 り 込みを マ ス ク し ます。
• [3] - REPLY_TIMEOUT : 応答 タ イ ム ア ウ ト 割 り 込みを マ ス ク し ます。
• [2] - REPLY_RECEIVED : 応答受信割 り 込みを マ ス ク し ます。
• [1] - HPD_EVENT : HPD イ ベン ト 割 り 込みを マ ス ク し ます。
• [0] - HPD_IRQ : HPD IRQ 割 り 込みを マ ス ク し ます。
0x148
RO
REPLY_DATA_COUNT。 ト ラ ンザ ク シ ョ ン中に実際に受信 し たデー タ バ イ ト の総数を返 し ます。
こ の レ ジ ス タ は、 ト ラ ンザ ク シ ョ ン ヘ ッ ダーの長 さ バ イ ト を使用 し ません。
• [4:0] - AUX ト ラ ンザ ク シ ョ ンの応答段階で受信 し たデー タ バ イ ト の総数
0x14C
RO
REPLY_STATUS
• [15:12] - 予約
• [11:4] - REPLY_STATUS_STATE : 内部 AUX 応答ス テー ト マシ ンの ス テー タ ス ビ ッ ト 。
• [3] - REPLY_ERROR : AUX 応答 ロ ジ ッ ク が最後の AUX ト ラ ンザ ク シ ョ ンに対する応答でエ ラ ー
を検出する と 、 1 にセ ッ ト さ れます。
• [2] - REQUEST_IN_PROGRESS : AUX ト ラ ンザ ク シ ョ ン要求 コ ン ト ロ ー ラ ーが AUX シ リ アル
バス で要求を送信中は 1 にセ ッ ト さ れます。 AUX ト ラ ンザ ク シ ョ ン要求コ ン ト ロ ー ラ ーがア
イ ド ルの場合、 0 にセ ッ ト さ れます。
• [1] - REPLY_IN_PROGRESS : AUX 応答検出 ロ ジ ッ ク が AUX シ リ アル バ ス で応答を受信中は 1
にセ ッ ト さ れます。
• [0] - REPLY_RECEIVED : AUX 要求コ ン ト ロ ー ラ ーが AUX シ リ アル バ ス で ビ ッ ト の送信を開
始す る と 、 0 にセ ッ ト さ れ ま す。 AUX 応答 コ ン ト ロ ー ラ ーが完全かつ有効な応答 ト ラ ン ザ ク
シ ョ ン を受信す る と 、 1 にセ ッ ト さ れます。
0x150
RO
HPD_DURATION
• [15:0] - HPD パルス の長 さ (単位 : マ イ ク ロ 秒)
MSA (詳細は DisplayPort 規格 [参照 1] 参照)
0x180
RW
MAIN_STREAM_HTOTAL。 メ イ ン ス ト リ ーム ビデオ信号の水平フ レー ミ ン グ期間全体の ク ロ ッ
ク 数を指定 し ます。
• [15:0] - 水平 ラ イ ンの長 さ (単位 : ク ロ ッ ク 数)
0x184
RW
MAIN_STREAM_VTOTAL。 メ イ ン ス ト リ ーム ビデオ フ レームの総 ラ イ ン数を指定 し ます。
• [15:0] - ビデオ フ レーム あ た り の総 ラ イ ン数
0x188
RW
MAIN_STREAM_POLARITY。 ビデオ同期信号の極性値を設定 し ます。
• [1] - VSYNC_POLARITY : 垂直同期パルス の極性
• [0] - HSYNC_POLARITY : 水平同期パルス の極性
0x18C
RW
MAIN_STREAM_HSWIDTH。 水平同期パルス の幅を設定 し ます。
• [14:0] - 水平同期パルス幅 (単位 : ク ロ ッ ク サ イ ク ル)
0x190
RW
MAIN_STREAM_VSWIDTH。 垂直同期パルス の幅を設定 し ます。
• [14:0] - 垂直同期の幅 ( ラ イ ン数)
0x194
RW
MAIN_STREAM_HRES。 メ イ ン ス ト リ ーム ビデオ ソ ース の水平解像度。
• [15:0] - メ イ ン ス ト リ ーム ビデオの ラ イ ン あ た り の有効ピ ク セル数
0x198
RW
MAIN_STREAM_VRES。 メ イ ン ス ト リ ーム ビデオ ソ ース の垂直解像度。
• [15:0] - メ イ ン ス ト リ ーム ビデオ ソ ース の有効ビデオ ラ イ ン数
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
25
第 2 章 : 製品仕様
表 2‐10 : DisplayPort ソ ース コ アのコ ン フ ィ ギ ュ レーシ ョ ン空間 (続き)
オフセ ッ ト R/W
定義
0x19C
RW
MAIN_STREAM_HSTART。 水平同期の立ち上が り エ ッ ジか ら 有効デー タ 開始ま での ク ロ ッ ク 数。
• [15:0] - 水平方向の開始ま での ク ロ ッ ク 数
0x1A0
RW
MAIN_STREAM_VSTART。垂直同期の立ち上が り エ ッ ジか ら 有効デー タ の最初の ラ イ ン ま での ラ
イ ン数。
• [15:0] - 垂直方向の開始ま での ラ イ ン数
0x1A4
RW
MAIN_STREAM_MISC0。 その他の ス ト リ ーム属性。
• [7:0] - DisplayPort 規格のセ ク シ ョ ン 2.2.4 で定義 さ れた DisplayPort MISC0 レ ジ ス タ に含まれ る
属性情報を実装 し ます。
• [0] - 同期 ク ロ ッ ク
• [2:1] - コ ン ポーネ ン ト フ ォーマ ッ ト
• [3] - ダ イ ナ ミ ッ ク レ ン ジ
• [4] - YCbCr カ ラ リ メ ト リ
• [7:5] - カ ラ ー / コ ン ポーネ ン ト あ た り のビ ッ ト 深度
0x1A8
RW
MAIN_STREAM_MISC1。 その他の ス ト リ ーム属性。
• [7:0] - DisplayPort 規格のセ ク シ ョ ン 2.2.4 で定義 さ れた DisplayPort MISC1 レ ジ ス タ に含まれ る
属性情報を実装 し ます。
• [0] - イ ン タ ーレース フ レーム あ た り の ラ イ ン数が偶数
• [2:1] - ス テ レ オ ビデオ属性
• [6:3] - 予約
0x1AC
RW
M-VID。 同期 ク ロ ッ キ ン グ モー ド を使用す る 場合、 こ の レ ジ ス タ には DisplayPort 規格のセ ク シ ョ
ン 2.2.5.2 で定義 さ れた M 値を書き 込む必要があ り ます。 非同期 ク ロ ッ キ ン グ モー ド の場合、 ビ
デオ ス ト リ ームの M 値は ソ ース コ アが自動的に計算 し て メ イ ン ス ト リ ームに書き 込みます。 こ
れ ら の値は、 リ ー ド バ ッ ク 用に M-VID レ ジ ス タ には書 き 込まれません。
• [23:0] - 符号な し の M 値
0x1B0
RW
TRANSFER_UNIT_SIZE。 フ レー ミ ン グ ロ ジ ッ ク での TU (Transfer Unit) のサ イ ズ を設定 し ます。
リ セ ッ ト す る と 、 転送サ イ ズは 64 に設定 さ れ ま す。 こ の レ ジ ス タ には、 DisplayPort 規格のセ ク
シ ョ ン 2.2.1.4.1 の説明に従っ て書 き 込む必要があ り ます。
• [6:0] - 受信す る ビデオのモー ド に応 じ て、 32 ~ 64 の範囲の固定値に設定 し ます。 ビ ッ ト 0 に
は書き 込みで き ません (TU のサ イ ズは必ず偶数)。
0x1B4
RW
N-VID。 同期 ク ロ ッ キ ン グ モー ド を使用する 場合、 こ の レ ジ ス タ には DisplayPort 規格のセ ク シ ョ
ン 2.2.5.2 で定義 さ れた N 値を書 き 込む必要があ り ます。 非同期 ク ロ ッ キ ン グ モー ド の場合、 ビ
デオ ス ト リ ームの M 値は ソ ース コ アが自動的に計算 し て メ イ ン ス ト リ ームに書き 込みます。 こ
れ ら の値は、 リ ー ド バ ッ ク 用に N-VID レ ジ ス タ には書 き 込まれません。
• [23:0] - 符号な し の N 値
0x1B8
RW
USER_PIXEL_WIDTH。 ユーザー デー タ 入力ポー ト の幅を選択 し ます。 MST では 4 ピ ク セル幅の
モー ド のみを使用 し ます。
• [2:0] :
° 1 - 1 ピ ク セル幅 イ ン タ ーフ ェ イ ス
° 2 - 2 ピ ク セル幅 イ ン タ ーフ ェ イ ス
° 4 - 4 ピ ク セル幅 イ ン タ ーフ ェ イ ス
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
26
第 2 章 : 製品仕様
表 2‐10 : DisplayPort ソ ース コ アのコ ン フ ィ ギ ュ レーシ ョ ン空間 (続き)
オフセ ッ ト R/W
0x1BC
RW
定義
USER_DATA_COUNT_PER_LANE。 ラ イ ン あ た り の ピ ク セ ル数 を ネ イ テ ィ ブ な 内部 16 ビ ッ ト
デー タ パ ス に変換す る ために使用 し ます。
(HRES * bits per pixel) が 16 で割 り 切れ る 場合
word_per_line = ((HRES * bits per pixel)/16)
それ以外の場合
word_per_line = (INT((HRES * bits per pixel)/16))+1
1 レーンのデザ イ ンの場合 :
Set USER_DATA_COUNT_PER_LANE = words_per_line - 1
2 レーンのデザ イ ンの場合 :
words_per_line が 2 で割 り 切れ る 場合
Set USER_DATA_COUNT_PER_LANE = words_per_line - 2
それ以外の場合
Set USER_DATA_COUNT_PER_LANE = words_per_line + MOD(words_per_line,2) - 2
4 レーンのデザ イ ンの場合 :
words_per_line が 4 で割 り 切れ る 場合
Set USER_DATA_COUNT_PER_LANE = words_per_line - 4
それ以外の場合
Set USER_DATA_COUNT_PER_LANE = words_per_line + MOD(words_per_line,4) - 4
0x1C0
RW
MAIN_STREAM_INTERLACED。ソ ース ビデオが イ ン タ ーレース方式であ る こ と を DisplayPort ト
ラ ン ス ミ ッ タ ーの メ イ ン リ ン ク に知 ら せます。1 にセ ッ ト す る と 、 コ アは VBID 値お よ び MSA の
該当す る フ ィ ール ド を適切に設定 し ます。 イ ン タ ーレース方式の ソ ース ビデオを正 し く 送信す る
には、 1 にセ ッ ト す る 必要があ り ます。
• [0] - イ ン タ ーレース方式の イ メ ージ を送信す る 場合は 1 にセ ッ ト し ます。
0x1C4
RW
MIN_BYTES_PER_TU。 ソ ース が使用する TU あ た り の最小バ イ ト 数を設定 し ます。 計算は、
DisplayPort 規格に基づいて行い ます。 GT デー タ 幅を 32 ビ ッ ト に設定 し た場合、 MIN_BYTES_
PER_TU ≥ 4 と す る 必要があ り ます。
• [6:0] - 値を INT((VIDEO_BW/LINK_BW)*TRANSFER_UNIT_SIZE) に設定 し ます。
0x1C8
RW
FRAC_BYTES_PER_TU。 多 く の場合、 MIN_BYTES_PER_TU の計算結果は整数 と な り ません。
こ の レ ジ ス タ は小数部を格納す る ために使用 し ます。
• [9:0] - ((VIDEO_BW/LINK_BW)*TRANSFER_UNIT_SIZE) の小数部に 1024 を掛けた値を こ の レ
ジ ス タ に書 き 込みます。
0x1CC
RW
INIT_WAIT。 新 し い ラ イ ン の開始時に フ レ ー ミ ン グ ロ ジ ッ ク が待機す る サ イ ク ル数 を定義 し ま
す。 こ れに よ り 、 入力 FIFO に十分なデー タ をバ ッ フ ァ リ ン グする 時間を確保 し ます。 INIT_WAIT
のデフ ォ ル ト 値は 0x20 です。
MIN_BYTES_PER_TU <= 4 の場合
• [7:0] - INIT_WAIT を 64 に設定
それ以外の場合
• [7:0] - INIT_WAIT を (TRANSFER_UNIT_SIZE - MIN_BYTES_PER_TU) に設定
0x1D0
RW
STREAM1。 MTP あ た り の平均ス ト リ ーム シ ン ボル タ イ ム ス ロ ッ ト 設定 し ます。
• [9:0] - TS_FRAC : こ の フ ィ ール ド には、 小数部に 1000 を掛けた値を書き 込みます。
詳細は、DisplayPort 規格のセ ク シ ョ ン 2.6.3.3 「VC Payload Size Determination by a Source Payload
Bandwidth Manager」 を参照 し て く だ さ い。
• [23:16] - TS_INT : 計算結果の整数部を書 き 込みます。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
27
第 2 章 : 製品仕様
表 2‐10 : DisplayPort ソ ース コ アのコ ン フ ィ ギ ュ レーシ ョ ン空間 (続き)
オフセ ッ ト R/W
定義
0x1D4
RW
STREAM2。 MTP あ た り の平均ス ト リ ーム シ ン ボル タ イ ム ス ロ ッ ト 設定 し ます。
• [9:0] - TS_FRAC : こ の フ ィ ール ド には、 小数部に 1000 を掛けた値を書き 込みます。
詳細は、DisplayPort 規格のセ ク シ ョ ン 2.6.3.3 「VC Payload Size Determination by a Source Payload
Bandwidth Manager」 を参照 し て く だ さ い。
• [23:16] - TS_INT : 計算結果の整数部を書 き 込みます。
0x1D8
RW
STREAM3。 MTP あ た り の平均ス ト リ ーム シ ン ボル タ イ ム ス ロ ッ ト 設定 し ます。
• [9:0] - TS_FRAC : こ の フ ィ ール ド には、 小数部に 1000 を掛けた値を書き 込みます。
詳細は、DisplayPort 規格のセ ク シ ョ ン 2.6.3.3 「VC Payload Size Determination by a Source Payload
Bandwidth Manager」 を参照 し て く だ さ い。
• [23:16] - TS_INT : 計算結果の整数部を書 き 込みます。
0x1DC
RW
STREAM4。 MTP あ た り の平均ス ト リ ーム シ ン ボル タ イ ム ス ロ ッ ト 設定 し ます。
• [9:0] - TS_FRAC : こ の フ ィ ール ド には、 小数部に 1000 を掛けた値を書き 込みます。
詳細は、DisplayPort 規格のセ ク シ ョ ン 2.6.3.3 「VC Payload Size Determination by a Source Payload
Bandwidth Manager」 を参照 し て く だ さ い。
• [23:16] - TS_INT : 計算結果の整数部を書 き 込みます。
PHY コ ン フ ィ ギ ュ レーシ ョ ン ス テー タ ス
0x200
RW
PHY_CONFIG。
• [0] - 1 にセ ッ ト す る と 、 PHY が リ セ ッ ト 状態に保持 さ れます。 ク リ アす る と リ セ ッ ト か ら リ
リ ース さ れます。
• [1] - 1 にセ ッ ト す る と 、 GTTXRESET が リ セ ッ ト 状態に保持 さ れます。 ク リ アす る と リ セ ッ ト
か ら リ リ ース さ れます。
• [8] - 1 にセ ッ ト す る と 、 TX_PHY_PMA が リ セ ッ ト 状態に保持 さ れます。 ク リ アす る と リ セ ッ
ト か ら リ リ ース さ れます。
• [9] - 1 にセ ッ ト す る と 、 TX_PHY_PCS が リ セ ッ ト 状態に保持 さ れます。 ク リ アす る と リ セ ッ ト
か ら リ リ ース さ れます。
• [11] - TX_PHY_POLARITY を設定 し ます。 デフ ォ ル ト 値は 0 です。
• [12] - TX_PHY_PRBSFORCEERR を設定 し ます。 デフ ォ ル ト 値は 0 です。
• [15:13] - TX_PHY_LOOPBACK を設定 し ます。 デフ ォ ル ト 値は 0 です。
• [16] - 個々の レーンの極性を有効に し ます。 0 にセ ッ ト する と 、 すべての レーンで ビ ッ ト [11] に
よ る 共通の極性制御を使用 し ます。
• [17] - レーン 0 の TX_PHY_POLARITY を設定 し ます。
• [18] - レーン 1 の TX_PHY_POLARITY を設定 し ます。
• [19] - レーン 2 の TX_PHY_POLARITY を設定 し ます。
• [20] - レーン 3 の TX_PHY_POLARITY を設定 し ます。
プ ロ グ ラ ムの詳細は、 該当す る ト ラ ン シーバーのユーザー ガ イ ド を参照 し て く だ さ い。
0x220
RW
PHY_VOLTAGE_DIFF_LANE_0。 DisplayPort リ ン ク の レーン 0 の差動電圧幅を制御 し ます。
• [3:0] - 各種 PHY イ ンプ リ メ ン テーシ ョ ンに応 じ て最大 8 レベルの電圧幅をサポー ト し ます。
DisplayPort 規格でサポー ト さ れ る 4 レベル と こ こ に示す 8 レベルのマ ッ ピ ン グは、 個々の実装
に よ り 異な り ます。
0x224
RW
PHY_VOLTAGE_DIFF_LANE_1。 ビ ッ ト 定義は PHY_VOLTAGE_DIFF_LANE_0 と 同 じ です。
0x228
RW
PHY_VOLTAGE_DIFF_LANE_2。 ビ ッ ト 定義は PHY_VOLTAGE_DIFF_LANE_0 と 同 じ です。
0x22C
RW
PHY_VOLTAGE_DIFF_LANE_3。 ビ ッ ト 定義は PHY_VOLTAGE_DIFF_LANE_0 と 同 じ です。
0x230
RW
TRANSMIT_PRBS7。 リ ン ク 品質の評価用に PRBS7 (擬似 ラ ン ダ ム ビ ッ ト シーケ ン ス 7) パ タ ーン
の送信を有効に し ます。
• [0] - 1 にセ ッ ト す る と 、 こ のシーケ ン ス の送信が有効にな り ます。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
28
第 2 章 : 製品仕様
表 2‐10 : DisplayPort ソ ース コ アのコ ン フ ィ ギ ュ レーシ ョ ン空間 (続き)
オフセ ッ ト R/W
定義
0x234
RW
PHY_CLOCK_SELECT。必要な リ ン ク レー ト に対 し て適切な ク ロ ッ ク 周波数を生成す る よ う PHY
PLL に指示 し ます。
• [2:0]
° 0x05 = 5.40Gb/s リ ン ク
° 0x03 = 2.70Gb/s リ ン ク
° 0x01 = 1.62Gb/s リ ン ク
0x238
RW
TX_PHY_POWER_DOWN [3:0]。 PHY パ ワー ダ ウ ン を制御 し ます。 各ビ ッ ト が各レーンに対応 し
ます。 1 にセ ッ ト す る と 、 GT がパ ワ ー ダ ウ ン モー ド に移行 し ます。
0x23C
RW
PHY_PRECURSOR_LANE_0。 DisplayPort リ ン ク の レーン 0 のプ リ カーサー レベルを設定 し ます。
• [4:0] - ト ラ ン ス ミ ッ タ ーの レーン 0 のプ リ カーサー レベルを制御 し ます。 DisplayPort 規格でサ
ポー ト さ れ る 4 レベル と こ こ に示す 32 レベルのマ ッ ピ ン グは、 個々の実装に よ り 異な り ます。
7 シ リ ーズ FPGA の場合のみ有効です。
0x240
RW
PHY_PRECURSOR_LANE_1。 ビ ッ ト 定義は PHY_PRECURSOR_LANE_0 と 同 じ です。
0x244
RW
PHY_PRECURSOR_LANE_2。 ビ ッ ト 定義は PHY_PRECURSOR_LANE_0 と 同 じ です。
0x248
RW
PHY_PRECURSOR_LANE_3。 ビ ッ ト 定義は PHY_PRECURSOR_LANE_0 と 同 じ です。
0x24C
RW
PHY_POSTCURSOR_LANE_0。DisplayPort リ ン ク のレーン 0 のポ ス ト カーサー レベルを設定し ます。
• [4:0] - ト ラ ン ス ミ ッ タ ーの レーン 0 のポ ス ト カーサー レベルを制御 し ます。 DisplayPort 規格で
サポー ト さ れ る 4 レベル と こ こ に示す 32 レベルのマ ッ ピ ン グは、 個々の実装に よ り 異な り ま
す。 7 シ リ ーズ FPGA の場合のみ有効です。
0x250
RW
PHY_POSTCURSOR_LANE_1。 ビ ッ ト 定義は PHY_POSTCURSOR_LANE_0 と 同 じ です。
0x254
RW
PHY_POSTCURSOR_LANE_2。 ビ ッ ト 定義は PHY_POSTCURSOR_LANE_0 と 同 じ です。
0x258
RW
PHY_POSTCURSOR_LANE_3。 ビ ッ ト 定義は PHY_POSTCURSOR_LANE_0 と 同 じ です。
0x280
RO
PHY_STATUS。 PHY の現在の ス テー タ ス を示 し ます。
• [1:0] - レーン 0 お よ び 1 の リ セ ッ ト が完了
• [3:2] - レーン 2 お よ び 3 の リ セ ッ ト が完了
• [4] - レーン 0 お よ び 1 の PLL が ロ ッ ク し てい る
• [5] - レーン 2 お よ び 3 の PLL が ロ ッ ク し てい る
• [6] - FPGA フ ァ ブ リ ッ ク の ク ロ ッ ク PLL が ロ ッ ク し てい る
• [15:7] - 未使用。 0 と し て読み出 し
• [17:16] - レーン 0 ト ラ ン ス ミ ッ タ ー バ ッ フ ァ ー ス テー タ ス
• [19:18] - レーン 0 ト ラ ン ス ミ ッ タ ー エ ラ ー
• [21:20] - レーン 1 ト ラ ン ス ミ ッ タ ー バ ッ フ ァ ー ス テー タ ス
• [23:22] - レーン 1 ト ラ ン ス ミ ッ タ ー エ ラ ー
• [25:24] - レーン 2 ト ラ ン ス ミ ッ タ ー バ ッ フ ァ ー ス テー タ ス
• [27:26] - レーン 2 ト ラ ン ス ミ ッ タ ー エ ラ ー
• [29:28] - レーン 3 ト ラ ン ス ミ ッ タ ー バ ッ フ ァ ー ス テー タ ス
• [31:30] - レーン 3 ト ラ ン ス ミ ッ タ ー エ ラ ー
0x2A0
RW
GT_DRP_COMMAND。 GT DRP ポー ト へのア ク セ ス に使用 し ます。 すべてのチ ャ ネルで同 じ 設定
を使用 し ます。
• [7:0] - DRP ア ド レ ス
• [15] - DRP 書 き 込み/読み出 し コ マ ン ド
° 1 : 書 き 込み
° 0 : 読み出 し
• [31:16] - DRP 書 き 込みデー タ (読み出 し コ マ ン ド の場合は無効)
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
29
第 2 章 : 製品仕様
表 2‐10 : DisplayPort ソ ース コ アのコ ン フ ィ ギ ュ レーシ ョ ン空間 (続き)
オフセ ッ ト R/W
定義
0x2A4
RO
GT_DRP_READ_DATA。 GT DRP READ デー タ へのア ク セ ス に使用 し ます。 DRP Ready 信号がア
サー ト さ れ る と デー タ がサンプル さ れます。
• [15:0] - DRP 読み出 し デー タ 。 DRP コ マ ン ド レ ジ ス タ を発行後、 DRP ア ク セ ス が完了す る 前に
デー タ を読み出すのを防ぐ ため、 ソ フ ト ウ ェ ア側で待ち時間 (通常は 10 * AXI4-Lite ク ロ ッ ク 周
期) を挿入す る 必要があ り ます。
0x2A8
RO
GT_DRP_CHANNEL STATUS。 GT DRP CHANNEL STATUS へのア ク セ ス に使用 し ます。
• [0] - DRP が ロ ッ ク し てい る 。IP ス テー ト マシ ンが GT DRP を使用する と アサー ト さ れます。 ソ
フ ト ウ ェ アで こ のビ ッ ト を ポー リ ン グ し 、値が 0 の場合のみ読み出 し /書 き 込み ト ラ ンザ ク シ ョ
ン を開始す る 必要があ り ます。
0x4FC
RO
SINK_VID_FRAMING_ERROR_STATUS。シ ン ク ビデオ フ レー ミ ン グ エ ラ ー ス テー タ ス。GT デー
タ 幅が 32 ビ ッ ト の場合に有効なデバ ッ グ レ ジ ス タ です。
• [1:0] - ス ト リ ーム 1 の フ レー ミ ン グ エ ラ ー ス テー タ ス
• [9:8] - ス ト リ ーム 2 の フ レー ミ ン グ エ ラ ー ス テー タ ス
• [17:16] - ス ト リ ーム 3 の フ レー ミ ン グ エ ラ ー ス テー タ ス
• [25:24] - ス ト リ ーム 4 の フ レー ミ ン グ エ ラ ー ス テー タ ス
MST イ ン タ ー フ ェ イ ス
0x500
RW
MAIN_STREAM_HTOTAL_STREAM2。 メ イ ン ス ト リ ーム ビデオ信号の水平フ レー ミ ン グ期間全
体の ク ロ ッ ク 数を指定 し ます。
• [15:0] - 水平 ラ イ ンの長 さ (単位 : ク ロ ッ ク 数)
0x504
RW
MAIN_STREAM_VTOTAL_STREAM2。 メ イ ン ス ト リ ーム ビデオ フ レームの総 ラ イ ン数を指定 し
ます。
• [15:0] - ビデオ フ レーム あ た り の総 ラ イ ン数
0x508
RW
MAIN_STREAM_POLARITY_STREAM2。 ビデオ同期信号の極性値を設定 し ます。
• [1] - VSYNC_POLARITY : 垂直同期パルス の極性
• [0] - HSYNC_POLARITY : 水平同期パルス の極性
0x50C
RW
MAIN_STREAM_HSWIDTH_STREAM2。 水平同期パルス の幅を設定 し ます。
• [14:0] - 水平同期パルス幅 (単位 : ク ロ ッ ク サ イ ク ル)
0x510
RW
MAIN_STREAM_VSWIDTH_STREAM2。 垂直同期パルス の幅を設定 し ます。
• [14:0] - 垂直同期の幅 ( ラ イ ン数)
0x514
RW
MAIN_STREAM_HRES_STREAM2。 メ イ ン ス ト リ ーム ビデオ ソ ース の水平解像度。
• [15:0] - メ イ ン ス ト リ ーム ビデオの ラ イ ン あ た り の有効ピ ク セル数
0x518
RW
MAIN_STREAM_VRES_STREAM2。 メ イ ン ス ト リ ーム ビデオ ソ ース の垂直解像度。
• [15:0] - メ イ ン ス ト リ ーム ビデオ ソ ース の有効ビデオ ラ イ ン数
0x51C
RW
MAIN_STREAM_HSTART_STREAM2。水平同期の立ち上が り エ ッ ジか ら 有効デー タ 開始ま での ク
ロ ッ ク 数。
• [15:0] - 水平方向の開始ま での ク ロ ッ ク 数
0x520
RW
MAIN_STREAM_VSTART_STREAM2。垂直同期の立ち上が り エ ッ ジか ら 有効デー タ の最初の ラ イ
ン ま での ラ イ ン数。
• [15:0] - 垂直方向の開始ま での ラ イ ン数
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
30
第 2 章 : 製品仕様
表 2‐10 : DisplayPort ソ ース コ アのコ ン フ ィ ギ ュ レーシ ョ ン空間 (続き)
オフセ ッ ト R/W
定義
0x524
RW
MAIN_STREAM_MISC0_STREAM2。 その他の ス ト リ ーム属性。
• [7:0] - DisplayPort 規格のセ ク シ ョ ン 2.2.4 で定義 さ れた DisplayPort MISC0 レ ジ ス タ に含まれ る
属性情報を実装 し ます。
• [0] - 同期 ク ロ ッ ク
• [2:1] - コ ン ポーネ ン ト フ ォーマ ッ ト
• [3] - ダ イ ナ ミ ッ ク レ ン ジ
• [4] - YCbCr カ ラ リ メ ト リ
• [7:5] - カ ラ ー / コ ン ポーネ ン ト あ た り のビ ッ ト 深度
0x528
RW
MAIN_STREAM_MISC1_STREAM2。 その他の ス ト リ ーム属性。
• [7:0] - DisplayPort 規格のセ ク シ ョ ン 2.2.4 で定義 さ れた DisplayPort MISC1 レ ジ ス タ に含まれ る
属性情報を実装 し ます。
• [0] - イ ン タ ーレース フ レーム あ た り の ラ イ ン数が偶数
• [2:1] - ス テ レ オ ビデオ属性
• [6:3] - 予約
0x52C
RW
M-VID_STREAM2。同期 ク ロ ッ キ ン グ モー ド を使用す る 場合、 こ の レ ジ ス タ には DisplayPort 規格
のセ ク シ ョ ン 2.2.5.2 で定義 さ れた M 値を書き 込む必要があ り ます。 非同期 ク ロ ッ キ ン グ モー ド
の場合、 ビデオ ス ト リ ームの M 値は ソ ース コ アが自動的に計算 し て メ イ ン ス ト リ ームに書 き 込
みます。 こ れ ら の値は、 リ ー ド バ ッ ク 用に M-VID レ ジ ス タ には書 き 込まれません。
• [23:0] - 符号な し の M 値
0x530
RW
TRANSFER_UNIT_SIZE_STREAM2。 フ レー ミ ン グ ロ ジ ッ ク での TU (Transfer Unit) のサ イ ズ を設
定 し ます。 リ セ ッ ト す る と 、 転送サ イ ズは 64 に設定 さ れます。
• [6:0] - 受信す る ビデオのモー ド に応 じ て、 32 ~ 64 の範囲の固定値に設定 し ます。 ビ ッ ト 0 に
は書き 込みで き ません (TU のサ イ ズは必ず偶数)。
0x534
RW
N-VID_STREAM2。 同期 ク ロ ッ キ ン グ モー ド を使用する 場合、 こ の レ ジ ス タ には DisplayPort 規格
のセ ク シ ョ ン 2.2.5.2 で定義 さ れた N 値を書き 込む必要があ り ます。 非同期 ク ロ ッ キ ン グ モー ド
の場合、 ビデオ ス ト リ ームの M 値は ソ ース コ アが自動的に計算 し て メ イ ン ス ト リ ームに書 き 込
みます。 こ れ ら の値は、 リ ー ド バ ッ ク 用に N-VID レ ジ ス タ には書 き 込まれません。
• [23:0] - 符号な し の N 値
0x538
RW
USER_PIXEL_WIDTH_STREAM2。 ユーザー デー タ 入力ポー ト の幅を選択 し ます。 MST では 4 ピ
ク セル幅のモー ド のみを使用 し ます。
• [2:0] :
° 1 = 1 ピ ク セル幅 イ ン タ ーフ ェ イ ス
° 2 = 2 ピ ク セル幅 イ ン タ ーフ ェ イ ス
° 4 = 4 ピ ク セル幅 イ ン タ ーフ ェ イ ス
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
31
第 2 章 : 製品仕様
表 2‐10 : DisplayPort ソ ース コ アのコ ン フ ィ ギ ュ レーシ ョ ン空間 (続き)
オフセ ッ ト R/W
0x53C
RW
定義
USER_DATA_COUNT_PER_LANE_STREAM2。 1 ラ イ ン あ た り の ピ ク セル数を ネ イ テ ィ ブな内部
デー タ パ ス に変換す る ために使用 し ます。
(HRES * bits per pixel) が 16 で割 り 切れ る 場合
word_per_line = ((HRES * bits per pixel)/16)
それ以外の場合
word_per_line = (INT((HRES * bits per pixel)/16))+1
1 レーンのデザ イ ンの場合 :
Set USER_DATA_COUNT_PER_LANE = words_per_line - 1
2 レーンのデザ イ ンの場合 :
words_per_line が 2 で割 り 切れ る 場合
Set USER_DATA_COUNT_PER_LANE = words_per_line - 2
それ以外の場合
Set USER_DATA_COUNT_PER_LANE = words_per_line + MOD(words_per_line,2) - 2
4 レーンのデザ イ ンの場合 :
words_per_line が 4 で割 り 切れ る 場合
Set USER_DATA_COUNT_PER_LANE = words_per_line - 4
それ以外の場合
Set USER_DATA_COUNT_PER_LANE = words_per_line + MOD(words_per_line,4) - 4
0x540
RW
MAIN_STREAM_INTERLACED_STREAM2。 ソ ー ス ビ デオが イ ン タ ー レ ー ス 方式で あ る こ と を
DisplayPort ト ラ ン ス ミ ッ タ ーの メ イ ン リ ン ク に知 ら せます。 1 にセ ッ ト す る と 、 コ アは VBID 値
お よ び MSA の該当す る フ ィ ール ド を適切に設定 し ます。 イ ン タ ーレース方式の ソ ース ビデオを
正 し く 送信す る には、 1 にセ ッ ト する 必要があ り ます。
• [0] - イ ン タ ーレース方式の イ メ ージ を送信す る 場合は 1 にセ ッ ト し ます。
0x544
RW
MIN_BYTES_PER_TU_STREAM2。 ソ ース が使用す る TU あ た り の最小バ イ ト 数を設定 し ます。計
算は、 DisplayPort 規格に基づいて行い ます。
• [7:0] - 値を INT((LINK_BW/VIDEO_BW)*TRANSFER_UNIT_SIZE) に設定 し ます。
0x548
RW
FRAC_BYTES_PER_TU_STREAM2。 多 く の場合、 MIN_BYTES_PER_TU の計算結果は整数 と な り
ません。 こ の レ ジ ス タ は小数部を格納す る ために使用 し ます。
• [9:0] - ((LINK_BW/VIDEO_BW)*TRANSFER_UNIT_SIZE) の小数部に 1000 を掛けた値を こ の レ
ジ ス タ に書 き 込みます。
0x54C
RW
INIT_WAIT_STREAM2。 新 し い ラ イ ンの開始時に フ レー ミ ン グ ロ ジ ッ ク が待機す る サ イ ク ル数を
定義 し ます。 こ れに よ り 、 入力 FIFO に十分なデー タ をバ ッ フ ァ リ ン グする 時間を確保 し ます。
MIN_BYTES_PER_TU <= 4 の場合
• [7:0] - INIT_WAIT を 64 に設定
それ以外の場合
• [7:0] - INIT_WAIT を (TRANSFER_UNIT_SIZE - MIN_BYTES_PER_TU) に設定
0x550
RW
MAIN_STREAM_HTOTAL_STREAM3。 メ イ ン ス ト リ ーム ビデオ信号の水平フ レー ミ ン グ期間全
体の ク ロ ッ ク 数を指定 し ます。
• [15:0] - 水平 ラ イ ンの長 さ (単位 : ク ロ ッ ク 数)
0x554
RW
MAIN_STREAM_VTOTAL_STREAM3。 メ イ ン ス ト リ ーム ビデオ フ レームの総 ラ イ ン数を指定 し
ます。
• [15:0] - ビデオ フ レーム あ た り の総 ラ イ ン数
0x558
RW
MAIN_STREAM_POLARITY_STREAM3。 ビデオ同期信号の極性値を設定 し ます。
• [1] - VSYNC_POLARITY : 垂直同期パルス の極性
• [0] - HSYNC_POLARITY : 水平同期パルス の極性
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
32
第 2 章 : 製品仕様
表 2‐10 : DisplayPort ソ ース コ アのコ ン フ ィ ギ ュ レーシ ョ ン空間 (続き)
オフセ ッ ト R/W
定義
0x55C
RW
MAIN_STREAM_HSWIDTH_STREAM3。 水平同期パルス の幅を設定 し ます。
• [14:0] - 水平同期パルス幅 (単位 : ク ロ ッ ク サ イ ク ル)
0x560
RW
MAIN_STREAM_VSWIDTH_STREAM3。 垂直同期パルス の幅を設定 し ます。
• [14:0] - 垂直同期の幅 ( ラ イ ン数)
0x564
RW
MAIN_STREAM_HRES_STREAM3。 メ イ ン ス ト リ ーム ビデオ ソ ース の水平解像度。
• [15:0] - メ イ ン ス ト リ ーム ビデオの ラ イ ン あ た り の有効ピ ク セル数
0x568
RW
MAIN_STREAM_VRES_STREAM3。 メ イ ン ス ト リ ーム ビデオ ソ ース の垂直解像度。
• [15:0] - メ イ ン ス ト リ ーム ビデオ ソ ース の有効ビデオ ラ イ ン数
0x56C
RW
MAIN_STREAM_HSTART_STREAM3。水平同期の立ち上が り エ ッ ジか ら 有効デー タ 開始ま での ク
ロ ッ ク 数。
• [15:0] - 水平方向の開始ま での ク ロ ッ ク 数
0x570
RW
MAIN_STREAM_VSTART_STREAM3。垂直同期の立ち上が り エ ッ ジか ら 有効デー タ の最初の ラ イ
ン ま での ラ イ ン数。
• [15:0] - 垂直方向の開始ま での ラ イ ン数
0x574
RW
MAIN_STREAM_MISC0_STREAM3。 その他の ス ト リ ーム属性。
• [7:0] - DisplayPort 規格のセ ク シ ョ ン 2.2.4 で定義 さ れた DisplayPort MISC0 レ ジ ス タ に含まれ る
属性情報を実装 し ます。
• [0] - 同期 ク ロ ッ ク
• [2:1] - コ ン ポーネ ン ト フ ォーマ ッ ト
• [3] - ダ イ ナ ミ ッ ク レ ン ジ
• [4] - YCbCr カ ラ リ メ ト リ
• [7:5] - カ ラ ー / コ ン ポーネ ン ト あ た り のビ ッ ト 深度
0x578
RW
MAIN_STREAM_MISC1_STREAM3。 その他の ス ト リ ーム属性。
• [7:0] - DisplayPort 規格のセ ク シ ョ ン 2.2.4 で定義 さ れた DisplayPort MISC1 レ ジ ス タ に含まれ る
属性情報を実装 し ます。
• [0] - イ ン タ ーレース フ レーム あ た り の ラ イ ン数が偶数
• [2:1] - ス テ レ オ ビデオ属性
• [6:3] - 予約
0x57C
RW
M-VID_STREAM3。同期 ク ロ ッ キ ン グ モー ド を使用す る 場合、 こ の レ ジ ス タ には DisplayPort 規格
のセ ク シ ョ ン 2.2.5.2 で定義 さ れた M 値を書き 込む必要があ り ます。 非同期 ク ロ ッ キ ン グ モー ド
の場合、 ビデオ ス ト リ ームの M 値は ソ ース コ アが自動的に計算 し て メ イ ン ス ト リ ームに書 き 込
みます。 こ れ ら の値は、 リ ー ド バ ッ ク 用に M-VID レ ジ ス タ には書 き 込まれません。
• [23:0] - 符号な し の M 値
0x580
RW
TRANSFER_UNIT_SIZE_STREAM3。 フ レー ミ ン グ ロ ジ ッ ク での TU (Transfer Unit) のサ イ ズ を設
定 し ます。 リ セ ッ ト す る と 、 転送サ イ ズは 64 に設定 さ れます。
• [6:0] - 受信す る ビデオのモー ド に応 じ て、 32 ~ 64 の範囲の固定値に設定 し ます。 ビ ッ ト 0 に
は書き 込みで き ません (TU のサ イ ズは必ず偶数)。
0x584
RW
N-VID_STREAM3。 同期 ク ロ ッ キ ン グ モー ド を使用する 場合、 こ の レ ジ ス タ には DisplayPort 規格
のセ ク シ ョ ン 2.2.5.2 で定義 さ れた N 値を書 き 込む必要があ り ます。 非同期 ク ロ ッ キ ン グ モー ド
の場合、 ビデオ ス ト リ ームの M 値は ソ ース コ アが自動的に計算 し て メ イ ン ス ト リ ームに書 き 込
みます。 こ れ ら の値は、 リ ー ド バ ッ ク 用に N-VID レ ジ ス タ には書 き 込まれません。
• [23:0] - 符号な し の N 値
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
33
第 2 章 : 製品仕様
表 2‐10 : DisplayPort ソ ース コ アのコ ン フ ィ ギ ュ レーシ ョ ン空間 (続き)
オフセ ッ ト R/W
定義
0x588
RW
USER_PIXEL_WIDTH_STREAM3。 ユーザー デー タ 入力ポー ト の幅を選択 し ます。 MST では 4 ピ
ク セル幅のモー ド のみを使用 し ます。
• [2:0] :
° 1 = 1 ピ ク セル幅 イ ン タ ーフ ェ イ ス
° 2 = 2 ピ ク セル幅 イ ン タ ーフ ェ イ ス
° 4 = 4 ピ ク セル幅 イ ン タ ーフ ェ イ ス
0x58C
RW
USER_DATA_COUNT_PER_LANE_STREAM3。 ラ イ ン あ た り の ピ ク セル数を ネ イ テ ィ ブな内部 16
ビ ッ ト デー タ パ ス に変換する ために使用 し ます。
(HRES * bits per pixel) が 16 で割 り 切れ る 場合
word_per_line = ((HRES * bits per pixel)/16)
それ以外の場合
word_per_line = (INT((HRES * bits per pixel)/16))+1
1 レーンのデザ イ ンの場合 :
Set USER_DATA_COUNT_PER_LANE = words_per_line - 1
2 レーンのデザ イ ンの場合 :
words_per_line が 2 で割 り 切れ る 場合
Set USER_DATA_COUNT_PER_LANE = words_per_line - 2
それ以外の場合
Set USER_DATA_COUNT_PER_LANE = words_per_line + MOD(words_per_line,2) - 2
4 レーンのデザ イ ンの場合 :
words_per_line が 4 で割 り 切れ る 場合
Set USER_DATA_COUNT_PER_LANE = words_per_line - 4
それ以外の場合
Set USER_DATA_COUNT_PER_LANE = words_per_line + MOD(words_per_line,4) - 4
0x590
RW
MAIN_STREAM_INTERLACED_STREAM3。 ソ ー ス ビ デオが イ ン タ ー レ ー ス 方式で あ る こ と を
DisplayPort ト ラ ン ス ミ ッ タ ーの メ イ ン リ ン ク に知 ら せます。 1 にセ ッ ト す る と 、 コ アは VBID 値
お よ び MSA の該当す る フ ィ ール ド を適切に設定 し ます。 イ ン タ ーレース方式の ソ ース ビデオを
正 し く 送信す る には、 1 にセ ッ ト する 必要があ り ます。
• [0] - イ ン タ ーレース方式の イ メ ージ を送信す る 場合は 1 にセ ッ ト し ます。
0x594
RW
MIN_BYTES_PER_TU_STREAM3。 ソ ース が使用す る TU あ た り の最小バ イ ト 数を設定 し ます。計
算は、 DisplayPort 規格に基づいて行い ます。
• [7:0] - 値を INT((LINK_BW/VIDEO_BW)*TRANSFER_UNIT_SIZE) に設定 し ます。
0x598
RW
FRAC_BYTES_PER_TU_STREAM3。 多 く の場合、 MIN_BYTES_PER_TU の計算結果は整数 と な り
ません。 こ の レ ジ ス タ は小数部を格納す る ために使用 し ます。
• [9:0] - ((LINK_BW/VIDEO_BW)*TRANSFER_UNIT_SIZE) の小数部に 1000 を掛けた値を こ の レ
ジ ス タ に書 き 込みます。
0x59C
RW
INIT_WAIT_STREAM3 : 新 し い ラ イ ンの開始時に フ レー ミ ン グ ロ ジ ッ ク が待機す る サ イ ク ル数を
定義 し ます。 こ れに よ り 、 入力 FIFO に十分なデー タ をバ ッ フ ァ リ ン グする 時間を確保 し ます。
MIN_BYTES_PER_TU <= 4 の場合
• [7:0] - INIT_WAIT を 64 に設定
それ以外の場合
• [7:0] - INIT_WAIT を (TRANSFER_UNIT_SIZE - MIN_BYTES_PER_TU) に設定
0x5A0
RW
DisplayPort v5.0
PG064 2014 年 11 月 19 日
MAIN_STREAM_HTOTAL_STREAM4。 メ イ ン ス ト リ ーム ビデオ信号の水平フ レー ミ ン グ期間全
体の ク ロ ッ ク 数を指定 し ます。
• [15:0] - 水平 ラ イ ンの長 さ (単位 : ク ロ ッ ク 数)
japan.xilinx.com
34
第 2 章 : 製品仕様
表 2‐10 : DisplayPort ソ ース コ アのコ ン フ ィ ギ ュ レーシ ョ ン空間 (続き)
オフセ ッ ト R/W
定義
0x5A4
RW
MAIN_STREAM_VTOTAL_STREAM4。 メ イ ン ス ト リ ーム ビデオ フ レームの総 ラ イ ン数を指定 し
ます。
• [15:0] - ビデオ フ レーム あ た り の総 ラ イ ン数
0x5A8
RW
MAIN_STREAM_POLARITY_STREAM4。 ビデオ同期信号の極性値を設定 し ます。
• [1] - VSYNC_POLARITY : 垂直同期パルス の極性
• [0] - HSYNC_POLARITY : 水平同期パルス の極性
0x5AC
RW
MAIN_STREAM_HSWIDTH_STREAM4。 水平同期パルス の幅を設定 し ます。
• [14:0] - 水平同期パルス幅 (単位 : ク ロ ッ ク サ イ ク ル)。
0x5B0
RW
MAIN_STREAM_VSWIDTH_STREAM4。 垂直同期パルス の幅を設定 し ます。
• [14:0] - 垂直同期の幅 ( ラ イ ン数)
0x5B4
RW
MAIN_STREAM_HRES_STREAM4。 メ イ ン ス ト リ ーム ビデオ ソ ース の水平解像度。
• [15:0] - メ イ ン ス ト リ ーム ビデオの ラ イ ン あ た り の有効ピ ク セル数
0x5B8
RW
MAIN_STREAM_VRES_STREAM4。 メ イ ン ス ト リ ーム ビデオ ソ ース の垂直解像度。
• [15:0] - メ イ ン ス ト リ ーム ビデオ ソ ース の有効ビデオ ラ イ ン数
0x5BC
RW
MAIN_STREAM_HSTART_STREAM4。水平同期の立ち上が り エ ッ ジか ら 有効デー タ 開始ま での ク
ロ ッ ク 数。
• [15:0] - 水平方向の開始ま での ク ロ ッ ク 数
0x5C0
RW
MAIN_STREAM_VSTART_STREAM4。垂直同期の立ち上が り エ ッ ジか ら 有効デー タ の最初の ラ イ
ン ま での ラ イ ン数。
• [15:0] - 垂直方向の開始ま での ラ イ ン数
0x5C4
RW
MAIN_STREAM_MISC0_STREAM4。 その他の ス ト リ ーム属性。
• [7:0] - DisplayPort 規格のセ ク シ ョ ン 2.2.4 で定義 さ れた DisplayPort MISC0 レ ジ ス タ に含まれ る
属性情報を実装 し ます。
• [0] - 同期 ク ロ ッ ク
• [2:1] - コ ン ポーネ ン ト フ ォーマ ッ ト
• [3] - ダ イ ナ ミ ッ ク レ ン ジ
• [4] - YCbCr カ ラ リ メ ト リ
• [7:5] - カ ラ ー / コ ン ポーネ ン ト あ た り のビ ッ ト 深度
0x5C8
RW
MAIN_STREAM_MISC1_STREAM4。 その他の ス ト リ ーム属性。
• [7:0] - DisplayPort 規格のセ ク シ ョ ン 2.2.4 で定義 さ れた DisplayPort MISC1 レ ジ ス タ に含まれ る
属性情報を実装 し ます。
• [0] - イ ン タ ーレース フ レーム あ た り の ラ イ ン数が偶数
• [2:1] - ス テ レ オ ビデオ属性
• [6:3] - 予約
0x5CC
RW
M-VID_STREAM4。同期 ク ロ ッ キ ン グ モー ド を使用す る 場合、 こ の レ ジ ス タ には DisplayPort 規格
のセ ク シ ョ ン 2.2.5.2 で定義 さ れた M 値を書き 込む必要があ り ます。 非同期 ク ロ ッ キ ン グ モー ド
の場合、 ビデオ ス ト リ ームの M 値は ソ ース コ アが自動的に計算 し て メ イ ン ス ト リ ームに書 き 込
みます。 こ れ ら の値は、 リ ー ド バ ッ ク 用に M-VID レ ジ ス タ には書 き 込まれません。
• [23:0] - 符号な し の M 値
0x5D0
RW
TRANSFER_UNIT_SIZE_STREAM4。 フ レー ミ ン グ ロ ジ ッ ク での TU (Transfer Unit) のサ イ ズ を設
定 し ます。 リ セ ッ ト す る と 、 転送サ イ ズは 64 に設定 さ れます。
• [6:0] - 受信す る ビデオのモー ド に応 じ て、 32 ~ 64 の範囲の固定値に設定 し ます。 ビ ッ ト 0 に
は書き 込みで き ません (TU のサ イ ズは必ず偶数)。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
35
第 2 章 : 製品仕様
表 2‐10 : DisplayPort ソ ース コ アのコ ン フ ィ ギ ュ レーシ ョ ン空間 (続き)
オフセ ッ ト R/W
定義
0x5D4
RW
N-VID_STREAM4。 同期 ク ロ ッ キ ン グ モー ド を使用する 場合、 こ の レ ジ ス タ には DisplayPort 規格
のセ ク シ ョ ン 2.2.5.2 で定義 さ れた N 値を書き 込む必要があ り ます。 非同期 ク ロ ッ キ ン グ モー ド
の場合、 ビデオ ス ト リ ームの M 値は ソ ース コ アが自動的に計算 し て メ イ ン ス ト リ ームに書 き 込
みます。 こ れ ら の値は、 リ ー ド バ ッ ク 用に N-VID レ ジ ス タ には書 き 込まれません。
• [23:0] - 符号な し の N 値
0x5D8
RW
USER_PIXEL_WIDTH_STREAM4。 ユーザー デー タ 入力ポー ト の幅を選択 し ます。 MST では 4 ピ
ク セル幅のモー ド のみを使用 し ます。
• [2:0] :
° 1 = 1 ピ ク セル幅 イ ン タ ーフ ェ イ ス
° 2 = 2 ピ ク セル幅 イ ン タ ーフ ェ イ ス
° 4 = 4 ピ ク セル幅 イ ン タ ーフ ェ イ ス
0x5DC
RW
USER_DATA_COUNT_PER_LANE_STREAM4。 ラ イ ン あ た り の ピ ク セル数を ネ イ テ ィ ブな内部 16
ビ ッ ト デー タ パ ス に変換する ために使用 し ます。
(HRES * bits per pixel) が 16 で割 り 切れ る 場合
word_per_line = ((HRES * bits per pixel)/16)
それ以外の場合
word_per_line = (INT((HRES * bits per pixel)/16))+1
1 レーンのデザ イ ンの場合 :
Set USER_DATA_COUNT_PER_LANE = words_per_line - 1
2 レーンのデザ イ ンの場合 :
words_per_line が 2 で割 り 切れ る 場合
Set USER_DATA_COUNT_PER_LANE = words_per_line - 2
それ以外の場合
Set USER_DATA_COUNT_PER_LANE = words_per_line + MOD(words_per_line,2) - 2
4 レーンのデザ イ ンの場合 :
words_per_line が 4 で割 り 切れ る 場合
Set USER_DATA_COUNT_PER_LANE = words_per_line - 4
それ以外の場合
Set USER_DATA_COUNT_PER_LANE = words_per_line + MOD(words_per_line,4) - 4
0x5E0
RW
MAIN_STREAM_INTERLACED_STREAM4。 ソ ー ス ビ デオが イ ン タ ー レ ー ス 方式で あ る こ と を
DisplayPort ト ラ ン ス ミ ッ タ ーの メ イ ン リ ン ク に知 ら せます。 1 にセ ッ ト す る と 、 コ アは VBID 値
お よ び MSA の該当す る フ ィ ール ド を適切に設定 し ます。 イ ン タ ーレース方式の ソ ース ビデオを
正 し く 送信す る には、 1 にセ ッ ト する 必要があ り ます。
• [0] - イ ン タ ーレース方式の イ メ ージ を送信す る 場合は 1 にセ ッ ト し ます。
0x5E4
RW
MIN_BYTES_PER_TU_STREAM4。 ソ ース が使用す る TU あ た り の最小バ イ ト 数を設定 し ます。計
算は、 DisplayPort 規格に基づいて行い ます。
• [7:0] - 値を INT((LINK_BW/VIDEO_BW)*TRANSFER_UNIT_SIZE) に設定 し ます。
0x5E8
RW
FRAC_BYTES_PER_TU_STREAM4。 多 く の場合、 MIN_BYTES_PER_TU の計算結果は整数 と な り
ません。 こ の レ ジ ス タ は小数部を格納す る ために使用 し ます。
• [9:0] - ((LINK_BW/VIDEO_BW)*TRANSFER_UNIT_SIZE) の小数部に 1000 を掛けた値を こ の レ
ジ ス タ に書 き 込みます。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
36
第 2 章 : 製品仕様
表 2‐10 : DisplayPort ソ ース コ アのコ ン フ ィ ギ ュ レーシ ョ ン空間 (続き)
オフセ ッ ト R/W
定義
0x5EC
RW
INIT_WAIT_STREAM4。 新 し い ラ イ ンの開始時に フ レー ミ ン グ ロ ジ ッ ク が待機す る サ イ ク ル数を
定義 し ます。 こ れに よ り 、 入力 FIFO に十分なデー タ をバ ッ フ ァ リ ン グする 時間を確保 し ます。
MIN_BYTES_PER_TU <= 4 の場合
• [7:0] - INIT_WAIT を 64 に設定
それ以外の場合
• [7:0] - INIT_WAIT を (TRANSFER_UNIT_SIZE - MIN_BYTES_PER_TU) に設定
0x800 ~
0x8FF
WO
PAYLOAD_TABLE。 こ のア ド レ ス空間は、 コ ア内に保持 さ れ る VC ペ イ ロ ー ド テーブルにマ ッ ピ
ン グ し ます。
• [7:0] - ペ イ ロ ー ド デー タ
DisplayPort オーデ ィ オ
表 2-11 に、 DisplayPort オーデ ィ オ レ ジ ス タ を示 し ます。
表 2‐11 : DisplayPort オーデ ィ オ レ ジ ス タ
オフセ ッ ト
R/W
定義
0x300
R/W
TX_AUDIO_CONTROL。メ イ ン リ ン ク でオーデ ィ オ ス ト リ ーム パケ ッ ト を有効に し 、バ ッ
フ ァ ー制御を行い ます。
• [0] : オーデ ィ オ イ ネーブル
0x304
R/W
TX_AUDIO_CHANNELS。 ア ク テ ィ ブなチ ャ ネル数を入力す る ために使用 し ま す。 ト ラ ン
ス ミ ッ タ ーは こ の情報に基づいてオーデ ィ オ サンプルを収集 し ます。
• [2:0] チ ャ ネル数
0x308
WO
TX_AUDIO_INFO_DATA。
[31:0] CEA 861-C InfoFrame フ ォーマ ッ ト の ワー ド 。 次に示す順番で合計 8 ワー ド を書 き 込
む必要があ り ます。
• 第 1 ワー ド –
° [7:0] = HB0
° [15:8] = HB1
° [23:16] = HB2
° [31:24] = HB3
• 第 2 ワー ド – DB3、 DB2、 DB1、 DB0
...
• 第 8 ワー ド – DB27、 DB26、 DB25、 DB24
CEA InfoFrame のデー タ バ イ ト DB1…DBN が DB0…DBN-1 にマ ッ ピ ン グ さ れます。
ソ フ ト ウ ェ アの誤操作に対する 保護機能はあ り ません。
0x328
R/W
TX_AUDIO_MAUD。 ト ラ ン ス ミ ッ タ ーが計算 し たオーデ ィ オ ス ト リ ームの M 値。
• [23:0] = オーデ ィ オ ク ロ ッ ク と リ ン ク ク ロ ッ ク が同期 し てい る 場合、 計算で求めた符号
な し の値
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
37
第 2 章 : 製品仕様
表 2‐11 : DisplayPort オーデ ィ オ レ ジ ス タ (続き)
オフセ ッ ト
R/W
定義
0x32C
R/W
TX_AUDIO_NAUD。 ト ラ ン ス ミ ッ タ ーが計算 し たオーデ ィ オ ス ト リ ームの N 値。
• [23:0] = オーデ ィ オ ク ロ ッ ク と リ ン ク ク ロ ッ ク が同期 し てい る 場合、 計算で求めた符号
な し の値
0x330 ~
0x350
WO
TX_AUDIO_EXT_DATA。
[31:0] = DisplayPort 規格で定義 さ れた拡張パケ ッ ト フ ォーマ ッ ト の ワ ー ド
拡張パケ ッ ト の長 さ は 32 バ イ ト で固定です。 コ ン ト ロ ー ラ ーには拡張パケ ッ ト 1 個分の
バ ッ フ ァ ー空間 し かあ り ません。
次に示す順番で合計 9 ワー ド を書 き 込む必要があ り ます。
• 第 1 ワー ド ° [7:0] = HB0
° [15:8] = HB1
° [23:16] = HB2
° [31:24] = HB3
• 第 2 ワー ド - DB3、 DB2、 DB1、 DB0
...
• 第 9 ワー ド - DB31、 DB30、 DB29、 DB28
HB* の定義については、 DisplayPort 規格を参照 し て く だ さ い。
ソ フ ト ウ ェ アの誤操作に対する 保護機能はあ り ません。 こ れはキーホール メ モ リ です。 こ
のため、 こ のア ド レ ス空間には 9 回の書 き 込みが必要です。
シ ン ク コ ア
DPCD (DisplayPort Configuration Data) は分散型レ ジ ス タ の集合 と し て実装 さ れてお り 、AXI4-Lite イ ン タ ーフ ェ イ ス か
ら の読み書 き が可能です。 こ れ ら の レ ジ ス タ は AXI4-Lite ド メ イ ンに同期 し 、 それ以外の ド メ イ ンには非同期 と 見な
さ れます。
コ ン フ ィ ギ ュ レーシ ョ ン空間か ら パ ラ メ ー タ ーを読み出 し 中にパ ラ メ ー タ ーが変化す る ケース と し て、 次の 2 通 り が
考え ら れます。 1 ビ ッ ト のパ ラ メ ー タ ーの場合、 変更前ま たは変更後の値の ど ち ら かが有効なデー タ と し て読み出 さ
れ ます。 複数ビ ッ ト フ ィ ール ド のパ ラ メ ー タ ーの場合、 ロ ッ ク ビ ッ ト を使用 し て読み出 し 実行中に ス テー タ ス値が
更新 さ れない よ う に し ます。 複数ビ ッ ト の コ ン フ ィ ギ ュ レーシ ョ ン デー タ については、 機能 コ アの ロ ーカル値の更新
が必要か ど う か を ト グル ビ ッ ト で示 し ます。
表 2-12 に記載のないビ ッ ト は予約済みで、 読み出す と 0 が返 さ れます。 表 2-12 には、 ア ド レ ス オ フ セ ッ ト のみを記
載 し てい ます。 ベース ア ド レ ス は AXI イ ン タ ーコ ネ ク ト に よ っ て設定 さ れます。
表 2‐12 : DisplayPort シ ン ク コ アの コ ン フ ィ ギ ュ レーシ ョ ン空間
オフセ ッ ト
R/W
定義
レ シーバー コ アのコ ン フ ィ ギ ュ レーシ ョ ン
0x000
RW
LINK_ENABLE。 レ シーバー イ ネーブル
• 1 - レ シーバー コ ア を有効に し ます。 こ のビ ッ ト を セ ッ ト する と 、HPD 信号を アサー
ト し ます。
0x004
RW
AUX_CLOCK_DIVIDER。AXI4-Lite ホ ス ト イ ン タ ーフ ェ イ ス ク ロ ッ ク か ら 内部 1MHz
ク ロ ッ ク を生成す る ための ク ロ ッ ク 分周値を格納 し ま す。 こ の ク ロ ッ ク 分周レ ジ ス タ
は整数分周のみをサポー ト し 、 分数の AXI4-Lite ク ロ ッ ク レー ト はサポー ト し ません
(た と えば 75MHz AXI4-Lite ク ロ ッ ク の場合は 75 と 設定)。
• [7:0] - ク ロ ッ ク 分周値
• [15:8] - AUX 信号幅フ ィ ル タ ー。 ノ イ ズ フ ィ ル タ ー幅を指定 し ます。 指定可能な値
は、 8、 16、 24、 32、 40 ま たは 48 です。 デフ ォ ル ト 値は 8 です。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
38
第 2 章 : 製品仕様
表 2‐12 : DisplayPort シ ン ク コ アの コ ン フ ィ ギ ュ レーシ ョ ン空間 (続き)
R/W
定義
0x00C
RW
DTG_ENABLE。 ユーザー イ ン タ ー フ ェ イ ス でデ ィ ス プ レ イ タ イ ミ ン グ ジ ェ ネ レ ー
タ ーを有効に し ます。
• [0] - DTG_ENABLE : 1 にセ ッ ト す る と 、 ト ラ フ ィ ッ ク ジ ェ ネ レー タ ーが有効にな り
ます。コ アが リ ン ク 上で No-Video (ビデオ信号な し ) パ タ ーン を検出 し た場合は、DTG
を無効にす る 必要があ り ます。
0x010
RW
USER_PIXEL_WIDTH。 ユーザー デー タ イ ン タ ーフ ェ イ ス か ら 出力 さ れ る ピ ク セル数
を設定 し ます。 シ ン ク コ ン ト ロ ー ラ ーは、 ア ク テ ィ ブな レーン数に合わせて ピ ク セル
幅を書き込みます (デフ ォル ト )。 こ のレ ジ ス タ に別の値を書き込む と 、 ユーザーに よ る
オーバー ラ イ ド が可能です。 MST では 4 ピ ク セル幅のモー ド のみを使用 し ます。
• [2:0]
° 1 = 1 ピ ク セル幅 イ ン タ ーフ ェ イ ス。
° 2 = 2 ピ ク セル幅 イ ン タ ーフ ェ イ ス。2 ま たは 4 レーンのデザ イ ンの場合のみ有効。
° 4 = 4 ピ ク セル幅 イ ン タ ーフ ェ イ ス。 4 レーンのデザ イ ンの場合のみ有効。
0x014
RW
INTERRUPT_MASK。 割 り 込みが発生 し て も axi_init 信号がアサー ト さ れない よ う に、
割 り 込み ソ ース を個別にマ ス ク し ます。 1 にセ ッ ト する と 、 対応する割 り 込み ソ ース が
マ ス ク さ れます。 電源投入時、 こ のレ ジ ス タ のビ ッ ト はすべて 1 に リ セ ッ ト さ れます。
• [18] - ト レーニ ン グ パ タ ーン 3 開始割 り 込み
• [17] - ト レーニ ン グ パ タ ーン 2 開始割 り 込み
• [16] - ト レーニ ン グ パ タ ーン 1 開始割 り 込み
• [15] - 帯域幅変更割 り 込み
• [14] – TRAINING_DONE
• [13] – DOWN_REQUEST_BUFFER_READY
• [12] – DOWN_REPLY_BUFFER_READ
• [11] – VC ペ イ ロ ー ド の割 り 当て解除
• [10] – VC ペ イ ロ ー ド の割 り 当て
• [9] - EXT_PKT_RXD : 拡張パケ ッ ト を受信す る と 1 にセ ッ ト さ れます。
• [8] - INFO_PKT_RXD : 情報パケ ッ ト を受信する と 1 にセ ッ ト さ れます。
• [6] - VIDEO : メ イ ン リ ン ク で有効な ビデオ フ レーム を検出す る と 1 にセ ッ ト さ れま
す。 有効な ス ク ラ ンブ ラ ー リ セ ッ ト キ ャ ラ ク タ ーの後、 8 ビデオ フ レームが経過す
る と ビデオ割 り 込みがセ ッ ト さ れます。
• [4] - TRAINING_LOST : ア ク テ ィ ブな レーン で ト レーニ ン グが失われた こ と を示 し
ます。
• [3] - VERTICAL_BLANKING : 垂直ブ ラ ン キ ン グ期間の開始を示 し ます.
•
• [2] - NO_VIDEO : 有効ビデオを受信後に No-Video (ビデオ信号な し) 条件を検出 し た こ
と を示 し ます。
• [1] - POWER_STATE : 電力ス テー ト (DPCD レ ジ ス タ 0x00600 の値) が変化 し た こ と
を示 し ます。
• [0] - VIDEO_MODE_CHANGE : MSA フ ィ ール ド で解像度の変更を検出 し た こ と を示
し ます。
0x018
RW
MISC_CONTROL。 ホ ス ト か ら レ シーバーに対 し 、 MSA 値を フ ィ ル タ リ ン グ な し で通
過 さ せ る よ う に指示 し ます。
• [0] - USE_FILTERED_MSA : 0 にセ ッ ト す る と 、コ アが受信 し た MSA 値に対す る フ ィ
ル タ ーが無効にな り ます。 1 にセ ッ ト する と 、 MSA 値の各フ ィ ール ド に対 し て 2 つ
の一致す る 値が検出 さ れた場合のみ、 関連す る レ ジ ス タ が内部で更新 さ れます。
• [1] - 1 にセ ッ ト する と 、 長い I2C 書き 込みデー タ 転送に対 し て部分 ACK ではな く
DEFER に よ る 応答が返 さ れます。
• [2] - 1 にセ ッ ト す る と 、 I2C DEFER は AUX DEFER と し て ソ ース デバ イ ス に送信 さ
れます。
オフセ ッ ト
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
39
第 2 章 : 製品仕様
表 2‐12 : DisplayPort シ ン ク コ アの コ ン フ ィ ギ ュ レーシ ョ ン空間 (続き)
オフセ ッ ト
0x01C
R/W
定義
WO
SOFTWARE_RESET_REGISTER。
• [0] - ソ フ ト ビデオ リ セ ッ ト : 1 にセ ッ ト す る と 、 ビデオ ロ ジ ッ ク が リ セ ッ ト さ れま
す。 読み出す と すべて 0 が返 さ れます。
• [7] - AUX ソ フ ト リ セ ッ ト : 1 にセ ッ ト す る と 、 AUX ロ ジ ッ ク が リ セ ッ ト さ れます。
AUX チ ャ ネル ス テー タ ス
0x020
RO
AUX_REQUEST_IN_PROGRESS。 AUX チ ャ ネル要求を受信 し た こ と を示 し ます。
• [0] - 1 の場合、 要求が進行中であ る こ と を示 し ます。
0x024
RO
REQUEST_ERROR_COUNT。 現在ま でに受信 し た AUX チ ャ ネル要求で検出 さ れたエ
ラ ーの合計数。
• [7:0] - エ ラ ー数。 レ ジ ス タ ア ド レ ス 0x28 に書 き 込む と こ のカ ウ ン タ ーは ク リ ア さ れ
ます。
0x028
RW
REQUEST_COUNT。 現在ま でに受信 し た AUX 要求の合計数。
• [7:0] - AUX 要求の合計数。レ ジ ス タ 0x28 に書 き 込む と こ のカ ウ ン タ ーは ク リ ア さ れ
ます。
0x02C
WO
HPD_INTERRUPT。HPD 信号を使用 し て ト ラ ン ス ミ ッ ターへの割 り 込みを アサー ト する
よ う レ シーバー コ アに指示 し ます。 こ のレ ジ ス タ を読み出す と 常に 0x0 が返 さ れます。
• [31:16] - HPD_INTERRUPT_LENGTH : デフ ォ ル ト 値は 0 です。 こ のフ ィ ール ド で
HPD パルス の長 さ を定義 し ます (単位 : マ イ ク ロ 秒)。た と えば HPD パルス の長 さ が
750μs の場合、 こ の レ ジ ス タ に 750 を書 き 込みます。
• [0] - 1 にセ ッ ト す る と 、 HPD 信号を利用 し て割 り 込みが送信 さ れます。 HPD 信号が
750μs の間 Low にな り 、 割 り 込みが要求 さ れた こ と を ソ ース に示 し ます。
0x030
RO
REQUEST_CLOCK_WIDTH。 リ カバ リ し た AUX ク ロ ッ ク 周期の半分を格納 し ます。
• [9:0] - 最後に受信 し た AUX 要求の SYNC 期間のエ ッ ジか ら 次のエ ッ ジ ま での
AXI_CLK サ イ ク ル数を示 し ます。
0x034
RO
REQUEST_COMMAND。 最後に受信 し た AUX コ マ ン ド を格納 し ます。
• [3:0] - 最後に受信 し た AUX 要求の コ マ ン ド フ ィ ール ド が格納 さ れます。
0x038
RO
REQUEST_ADDRESS。最後に受信 し た AUX 要求のア ド レ ス フ ィ ール ド を格納 し ます。
• [19:0] - 最後に受信 し た AUX 要求 ト ラ ンザ ク シ ョ ンの 20 ビ ッ ト ア ド レ ス フ ィ ール
ド が こ の レ ジ ス タ に格納 さ れます。 AUX 上での I2C ト ラ ンザ ク シ ョ ンの場合、 ア
ド レ ス の範囲は下位 7 ビ ッ ト ま でに制限 さ れます。
0x03C
RO
REQUEST_LENGTH。最後に受信 し た AUX 要求の長 さ が こ の レ ジ ス タ に書 き 込ま れま
す。 こ の レ ジ ス タ の値に 1 を足 し た値が AUX 要求の長 さ です。
• [3:0] - AUX 要求の長 さ を格納 し ます。 ト ラ ンザ ク シ ョ ンの長 さ は 1 ~ 16 バ イ ト が
サポー ト さ れます。 ア ド レ ス のみの ト ラ ンザ ク シ ョ ンの場合、 こ の レ ジ ス タ の値は
0 と な り ます。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
40
第 2 章 : 製品仕様
表 2‐12 : DisplayPort シ ン ク コ アの コ ン フ ィ ギ ュ レーシ ョ ン空間 (続き)
オフセ ッ ト
0x040
DisplayPort v5.0
PG064 2014 年 11 月 19 日
R/W
定義
RC
INTERRUPT_CAUSE。 保留中のホ ス ト 割 り 込みの要因を示 し ます。 こ の レ ジ ス タ を読
み出す と 、すべての値が ク リ ア さ れます。書き 込み動作は不正で、値が ク リ ア さ れます。
• [18] - ト レーニ ン グ パ タ ーン 3 開始割 り 込み
• [17] - ト レーニ ン グ パ タ ーン 2 開始割 り 込み
• [16] - ト レーニ ン グ パ タ ーン 1 開始割 り 込み
• [15] - 帯域幅変更割 り 込み
• [14] – TRAINING_DONE : ト レーニ ン グが完了する と 1 にセ ッ ト さ れます。
• [13] – DOWN_REQUEST_BUFFER_READY : ダ ウ ン要求が利用可能にな る と 1 に
セ ッ ト さ れます。
• [12] - DOWN_REPLY_BUFFER_READ : ア ッ プ ス ト リ ーム (上位) ソ ース に よ っ てダ
ウ ン応答バ ッ フ ァ ーか ら の読み出 し イ ベン ト があ る と 1 にセ ッ ト さ れます。
• [11] - VC ペ イ ロ ー ド の割 り 当て解除 : コ ン ト ロ ー ラ ーで割 り 当て解除 イ ベン ト が発
生す る と 0 にセ ッ ト さ れます。
• [10] - VC ペ イ ロ ー ド の割 り 当て : コ ン ト ロ ー ラ ーで割 り 当て イ ベン ト が発生す る と
1 にセ ッ ト さ れます。
• [9] - EXT_PKT_RXD : 拡張パケ ッ ト を受信す る と 1 にセ ッ ト さ れます。
• [8] - INFO_PKT_RXD : 情報パケ ッ ト を受信する と 1 にセ ッ ト さ れます。
• [6] - VIDEO : メ イ ン リ ン ク で有効なビデオ フ レーム を検出する と 1 にセ ッ ト さ れます。
• [5] - 予約
• [4] - TRAINING_LOST : こ の割 り 込みは、レ シーバーがいっ たん ト レーニ ン グ を完了
し た後、 ク ロ ッ ク リ カバ リ 、 シ ン ボル ロ ッ ク 、 ま たはレーン間ア ラ イ メ ン ト を失っ
た場合にセ ッ ト さ れます。
• [3] - VERTICAL_BLANKING : こ の割 り 込みは、受信 し た ス ト リ ームの VB-ID フ ィ ー
ル ド の VerticalBlanking_Flag で示 さ れ る 垂直ブ ラ ン キ ン グ期間の開始時にセ ッ ト さ
れます。
• [2] - NO_VIDEO : レ シーバーが有効な ビデオを受信後に VBID フ ィ ール ド で
No-Video (ビデオ信号な し ) フ ラ グ を検出 し た こ と を示 し ます。
• [1] - POWER_STATE : ト ラ ン ス ミ ッ タ ーが レ シーバー コ アの現在の電力ス テー ト に
対 し て変更を要求 し た こ と を示 し ます。
• [0] - VIDEO_MODE_CHANGE : DisplayPort リ ン ク で送信 さ れ る 現在の ビデオ モー ド
が変更 さ れた こ と を MSA フ ィ ール ド で検出 し た こ と を示 し ます。 ビデオ モー ド の
変更は、 水平お よ び垂直解像度のパ ラ メ ー タ ーを監視 し て判断 し ます。
japan.xilinx.com
41
第 2 章 : 製品仕様
表 2‐12 : DisplayPort シ ン ク コ アの コ ン フ ィ ギ ュ レーシ ョ ン空間 (続き)
R/W
定義
0x044
RW
INTERRUPT_MASK_1。割 り 込みが発生 し て も axi_init 信号がアサー ト さ れない よ う に、
割 り 込み ソ ー ス を個別にマ ス ク し ます。 こ れ ら の ビ ッ ト を 1 にセ ッ ト す る と 、 対応す
る 割 り 込み ソ ー ス がマ ス ク さ れ ま す。 電源投入時、 こ の レ ジ ス タ の ビ ッ ト はすべて 1
に リ セ ッ ト さ れます。
• [17] – ビデオ割 り 込み – ス ト リ ーム 4
• [16] – 垂直ブ ラ ン キ ン グ割 り 込み – ス ト リ ーム 4
• [15] – No-Video (ビデオ信号な し ) 割 り 込み – ス ト リ ーム 4
• [14] – モー ド 変化割 り 込み – ス ト リ ーム 4
• [13] – 情報パケ ッ ト 受信 – ス ト リ ーム 4
• [12] – 拡張パケ ッ ト 受信 – ス ト リ ーム 4
• [11] – ビデオ割 り 込み – ス ト リ ーム 3
• [10] – 垂直ブ ラ ン キ ン グ割 り 込み – ス ト リ ーム 3
• [9] – No-Video (ビデオ信号な し ) 割 り 込み – ス ト リ ーム 3
• [8] – モー ド 変化割 り 込み – ス ト リ ーム 3
• [7] – 情報パケ ッ ト 受信 – ス ト リ ーム 3
• [6] – 拡張パケ ッ ト 受信 – ス ト リ ーム 3
• [5] – ビデオ割 り 込み – ス ト リ ーム 2
• [4] – 垂直ブ ラ ン キ ン グ割 り 込み – ス ト リ ーム 2
• [3] – No-Video (ビデオ信号な し ) 割 り 込み – ス ト リ ーム 2
• [2] – モー ド 変化割 り 込み – ス ト リ ーム 2
• [1] – 情報パケ ッ ト 受信 – ス ト リ ーム 2
• [0] – 拡張パケ ッ ト 受信 – ス ト リ ーム 2
0x048
RC
INTERRUPT_CAUSE_1。 保留中のホ ス ト 割 り 込みの要因を示 し ます。 こ の レ ジ ス タ を
読み出す と 、 すべての値が ク リ ア さ れ ます。 書 き 込み動作は不正で、 すべての値が ク
リ ア さ れます。 こ れ ら ビ ッ ト の働 き は、 ス ト リ ーム 1 の Interrupt Cause レ ジ ス タ の説明
と 同 じ です。 予約ビ ッ ト は 0 を返 し ます。 各割 り 込みの詳細は、 オ フ セ ッ ト 0x040 の
説明を参照 し て く だ さ い。
• [17] – ビデオ割 り 込み – ス ト リ ーム 4
• [16] – 垂直ブ ラ ン キ ン グ割 り 込み – ス ト リ ーム 4
• [15] – No-Video (ビデオ信号な し ) 割 り 込み – ス ト リ ーム 4
• [14] – モー ド 変化割 り 込み – ス ト リ ーム 4
• [13] – 情報パケ ッ ト 受信 – ス ト リ ーム 4
• [12] – 拡張パケ ッ ト 受信 – ス ト リ ーム 4
• [11] – ビデオ割 り 込み – ス ト リ ーム 3
• [10] – 垂直ブ ラ ン キ ン グ割 り 込み – ス ト リ ーム 3
• [9] – No-Video (ビデオ信号な し ) 割 り 込み – ス ト リ ーム 3
• [8] – モー ド 変化割 り 込み – ス ト リ ーム 3
• [7] – 情報パケ ッ ト 受信 – ス ト リ ーム 3
• [6] – 拡張パケ ッ ト 受信 – ス ト リ ーム 3
• [5] – ビデオ割 り 込み – ス ト リ ーム 2
• [4] – 垂直ブ ラ ン キ ン グ割 り 込み – ス ト リ ーム 2
• [3] – No-Video (ビデオ信号な し ) 割 り 込み – ス ト リ ーム 2
• [2] – モー ド 変化割 り 込み – ス ト リ ーム 2
• [1] – 情報パケ ッ ト 受信 – ス ト リ ーム 2
• [0] – 拡張パケ ッ ト 受信 – ス ト リ ーム 2
オフセ ッ ト
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
42
第 2 章 : 製品仕様
表 2‐12 : DisplayPort シ ン ク コ アの コ ン フ ィ ギ ュ レーシ ョ ン空間 (続き)
R/W
定義
0x050
RW
HSYNC_WIDTH。 デ ィ ス プ レ イ タ イ ミ ン グ ジ ェ ネ レー タ ー制御 ロ ジ ッ ク は、 水平同期
用にア ク テ ィ ブ High のパルス を一定の長 さ だけ出力 し ます。 こ のパルス の タ イ ミ ン グ
を、 こ の レ ジ ス タ で適切に制御で き ます。 こ の レ ジ ス タ のデフ ォ ル ト 値は 0x0f0f です。
• [15:8] - HSYNC_FRONT_PORCH : 有効デー タ の最後の ピ ク セルか ら 水平同期パルス
開始ま での間に挿入す る ビデオ ク ロ ッ ク サ イ ク ル数を定義 し ます。
• [7:0] - HSYNC_PULSE_WIDTH : 水平同期パルス を アサー ト す る ク ロ ッ ク サ イ ク ル
数を指定 し ます。 こ こ で指定 し た ク ロ ッ ク サ イ ク ル数だけ vid_hsync 信号が High に
な り ます。
0x060
RW
FAST_I2C_DIVIDER。 Fast モー ド の I2C ク ロ ッ ク 分周値。 こ の値を (AXI4-Lite ク ロ ッ
ク 周波数/10) - 1 に設定 し ます。 DPCD 1.2 の場合のみ有効です。
0x084
RW
LOCAL_EDID_VIDEO。 こ のビデオ ス ト リ ームに EDID 情報が存在す る か ど う かを示
し ます。
• [0] - 1 にセ ッ ト す る と 、レ シーバーが ロ ーカル EDID 情報をサポー ト し てい る こ と を
DPCD レ ジ ス タ を介 し て ト ラ ン ス ミ ッ タ ーに知 ら せます。
0x088
RW
LOCAL_EDID_AUDIO。 こ のオーデ ィ オ ス ト リ ームに EDID 情報が存在す る か ど う か
を示 し ます。
• [0] - 1 にセ ッ ト す る と 、レ シーバーが ロ ーカル EDID 情報をサポー ト し てい る こ と を
DPCD レ ジ ス タ を介 し て ト ラ ン ス ミ ッ タ ーに知 ら せます。
0x08C
RW
REMOTE_COMMAND。 リ モー ト 情報を ト ラ ン ス ミ ッ タ ーへ渡すための汎用バ イ ト 。
• [7:0] - リ モー ト デー タ バ イ ト
0x090
RW
DEVICE_SERVICE_IRQ。 DPCD DEVICE_SERVICE_IRQ_VECTOR の状態を示 し ます。
• [0] - 新 し い コ マ ン ド があ る と 1 にセ ッ ト さ れます。 REMOTE_COMMAND レ ジ ス タ
に新 し い コ マ ン ド が存在す る こ と を示 し ます。 こ の レ ジ ス タ に 0x1 を書 き 込む と 、
DPCD レ ジ ス タ DEVICE_SERVICE_IRQ_VECTOR (0x201) の REMOTE_CONTROL_
PENDING ビ ッ ト がセ ッ ト さ れます。こ の レ ジ ス タ に 0x0 を書 き 込んで も 何 も 起 こ り
ません。 詳細は、 DisplayPort 規格の DPCD レ ジ ス タ のセ ク シ ョ ン を参照 し て く だ さ
い。 こ の レ ジ ス タ を読み出す と 、 DPCD レ ジ ス タ の状態が返 さ れます。
• [1] - DPCD 0x201 レ ジ ス タ の SINK_SPECIFIC_IRQ の状態を反映 し ます。
• [4] - 新 し いダ ウ ン応答バ ッ フ ァ ー メ ッ セージの準備がで き る と 1 にセ ッ ト さ れます。
0x094
RW
VIDEO_UNSUPPORTED。 ビデオ デー タ がサポー ト さ れていない こ と を ト ラ ン ス ミ ッ
タ ーに知 ら せる ための DPCD レ ジ ス タ ビ ッ ト 。
• [0] - ビデオ デー タ がサポー ト さ れない場合、 1 にセ ッ ト さ れます。
0x098
RW
AUDIO_UNSUPPORTED。 オーデ ィ オ デー タ がサポー ト さ れていない こ と を ト ラ ン ス
ミ ッ タ ーに知 ら せる ための DPCD レ ジ ス タ ビ ッ ト 。
• [0] - オーデ ィ オ デー タ がサポー ト さ れない場合、 1 にセ ッ ト さ れます。
0x09C
RW
LINK_BW_SET のオーバー ラ イ ド 。 DPCD レ ジ ス タ セ ッ ト の LINK_
BW_SET を オーバー ラ イ ド す る 場合に使用 し ます。 DPCD の値を オーバー ラ イ ド す る
には、 レ ジ ス タ 0x0b8 (direct_dpcd_access) を 1 にセ ッ ト し てお く 必要があ り ます。
• [4:0] - DisplayPort v1.2 プ ロ ト コ ルのデザ イ ン の場合の リ ン ク レー ト オーバー ラ イ
ド値
• [3:0] - DisplayPort v1.1a プ ロ ト コ ルのデザ イ ンの場合の リ ン ク レー ト オーバー ラ イ
ド値
° 0x6 - 1.62G
° 0xA - 2.7G
° 0x14 - 5.4G
オフセ ッ ト
DPCD フ ィ ール ド
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
43
第 2 章 : 製品仕様
表 2‐12 : DisplayPort シ ン ク コ アの コ ン フ ィ ギ ュ レーシ ョ ン空間 (続き)
R/W
定義
0x0A0
RW
LANE_COUNT_SET のオーバー ラ イ ド 。 DPCD レ ジ ス タ セ ッ ト の LANE_COUNT_SET
を オーバー ラ イ ド す る 場合に使用 し ま す。 DPCD の値を オーバー ラ イ ド す る には、 レ
ジ ス タ 0x0b8 (direct_dpcd_access) を 1 にセ ッ ト し てお く 必要があ り ます。
• [4:0] - レーン数オーバー ラ イ ド 値 (1、 2 ま たは 4 レーン)
• [6] - TPS3_SUPPORTED : Capability オーバー ラ イ ド (DisplayPort v1.2 プ ロ ト コ ルのデ
ザ イ ンのみ。 DisplayPort v1.1a プ ロ ト コ ルの場合は予約)
• [7] - ENHANCED_FRAME_CAP : 機能オーバー ラ イ ド
0x0A4
RW
TRAINING_PATTERN_SET
の オ ー バ ー ラ イ ド 。 DPCD
レジスタ
セッ トの
TRAINING_PATTERN_SET を オーバー ラ イ ド す る 場合に使用 し ま す。 DPCD の値 を
オーバー ラ イ ド す る には、レ ジ ス タ 0x0b8 (direct_dpcd_access) を 1 にセ ッ ト し てお く 必
要があ り ます。
• [1:0] - TRAINING_PATTERN_SELECT のオーバー ラ イ ド
• [3:2] - LINK_QUAL_PATTERN_SET のオーバー ラ イ ド (DisplayPort v1.1a のみ)
• [4] - RECOVERED_CLOCK_OUT_EN のオーバー ラ イ ド
• [5] - SCRAMBLING_DISABLE のオーバー ラ イ ド
• [7:6] - SYMBOL_ERROR_COUNT_SEL のオーバー ラ イ ド
• [15:8] - TRAINING_AUX_RD_INTERVAL (DisplayPort v1.2 プ ロ ト コ ルに基づ く 値)
0x0A8
RW
TRAINING_LANE0_SET
の オ ー バ ー ラ イ ド 。 DPCD
レジス タ
セッ トの
TRAINING_LANE0_SET を オーバー ラ イ ド す る 場合に使用 し ま す。 DPCD の値を オー
バー ラ イ ド する には、レ ジ ス タ 0x0b8 (direct_dpcd_access) を 1 にセ ッ ト し てお く 必要が
あ り ます。
• [1:0] - VOLTAGE_SWING_SET のオーバー ラ イ ド
• [2] - MAX_SWING_REACHED のオーバー ラ イ ド
• [4:3] - PRE-EMPHASIS_SET のオーバー ラ イ ド
• [5] - MAX_PRE-EMPHASIS_REACHED のオーバー ラ イ ド
• [7:6] - 予約
0x0AC
RW
TRAINING_LANE1_SET
の オ ー バ ー ラ イ ド 。 DPCD
レジス タ
セッ トの
TRAINING_LANE1_SET を オーバー ラ イ ド す る 場合に使用 し ま す。 DPCD の値を オー
バー ラ イ ド す る には、レ ジ ス タ 0x0b8 (direct_dpcd_access) を 1 にセ ッ ト し てお く 必要が
あ り ます。 ビ ッ ト 定義は TRAINING_LANE0_SET のオーバー ラ イ ド と 同 じ です。
0x0B0
RW
TRAINING_LANE2_SET
の オ ー バ ー ラ イ ド 。 DPCD
レジス タ
セッ トの
TRAINING_LANE2_SET を オーバー ラ イ ド す る 場合に使用 し ま す。 DPCD の値を オー
バー ラ イ ド す る には、レ ジ ス タ 0x0b8 (direct_dpcd_access) を 1 にセ ッ ト し てお く 必要が
あ り ます。 ビ ッ ト 定義は TRAINING_LANE0_SET のオーバー ラ イ ド と 同 じ です。
0x0B4
RW
TRAINING_LANE3_SET
の オ ー バ ー ラ イ ド 。 DPCD
レジス タ
セッ トの
TRAINING_LANE3_SET を オーバー ラ イ ド す る 場合に使用 し ま す。 DPCD の値を オー
バー ラ イ ド す る には、レ ジ ス タ 0x0b8 (direct_dpcd_access) を 1 にセ ッ ト し てお く 必要が
あ り ます。 ビ ッ ト 定義は TRAINING_LANE0_SET のオーバー ラ イ ド と 同 じ です。
0x0B8 *
RW
DPCD 制御レ ジ ス タ のオーバー ラ イ ド 。 0x1 に設定す る と 、 AXI/APB イ ン タ ーフ ェ イ
ス か ら DPCD 機能構造体への書 き 込みア ク セ ス が可能にな り ます。
0x0BC
RW
DPCD DOWNSPREAD 制御フ ィ ール ド のオーバー ラ イ ド 。 DPCD の値を オーバー ラ イ
ド す る にはレ ジ ス タ 0x0B8 (direct_dpcd_access) を 1 にセ ッ ト し てお く 必要があ り ます。
• [0] - MAX_DOWNSPREAD のオーバー ラ イ ド
0x0C0
RW
DPCD LINK_QUAL_LANE0_SET フ ィ ール ド のオーバー ラ イ ド (DPCD1.2 バージ ョ ンの
み)。 DPCD の値を オーバー ラ イ ド す る にはレ ジ ス タ 0x0B8 (direct_dpcd_access) を 1 に
セ ッ ト し てお く 必要があ り ます。
• [2:0] - LINK_QUAL_LANE0_SET のオーバー ラ イ ド
オフセ ッ ト
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
44
第 2 章 : 製品仕様
表 2‐12 : DisplayPort シ ン ク コ アの コ ン フ ィ ギ ュ レーシ ョ ン空間 (続き)
R/W
定義
0x0C4
RW
DPCD LINK_QUAL_LANE1_SET フ ィ ール ド のオーバー ラ イ ド (DPCD1.2 バージ ョ ンの
み)。 DPCD の値を オーバー ラ イ ド する にはレ ジ ス タ 0x0B8 (direct_dpcd_access) を 1 に
セ ッ ト し てお く 必要があ り ます。
• [2:0] - LINK_QUAL_LANE1_SET のオーバー ラ イ ド
0x0C8
RW
DPCD LINK_QUAL_LANE2_SET フ ィ ール ド のオーバー ラ イ ド (DPCD1.2 バージ ョ ンの
み)。 DPCD の値を オーバー ラ イ ド する にはレ ジ ス タ 0x0B8 (direct_dpcd_access) を 1 に
セ ッ ト し てお く 必要があ り ます。
• [2:0] - LINK_QUAL_LANE2_SET のオーバー ラ イ ド
0x0CC
RW
DPCD LINK_QUAL_LANE3_SET フ ィ ール ド のオーバー ラ イ ド (DPCD1.2 バージ ョ ンの
み)。 DPCD の値を オーバー ラ イ ド する にはレ ジ ス タ 0x0B8 (direct_dpcd_access) を 1 に
セ ッ ト し てお く 必要があ り ます。
• [2:0] - LINK_QUAL_LANE3_SET のオーバー ラ イ ド
0x0D0
RW
MST CAPABILITY。 MST 機能の有効/無効を切 り 替え ます。
• [0] - 1 にセ ッ ト す る と 、 MST 機能が有効にな り ます。 こ のビ ッ ト は、 コ ン フ ィ ギ ュ
レーシ ョ ン プ ロ グ ラ ムの ス テージでのみセ ッ ト し て く だ さ い。
0x0D4
RW
シ ン ク デバ イ ス 数。 シ ン ク デバ イ ス の初期化中に こ の値を書 き 込む こ と を推奨 し ま
す。 SST モー ド の場合は、 こ の値を 1 と し て く だ さ い。
0x0E0
RW
GUID ワー ド 0。必要に応 じ て ホ ス ト イ ン タ ーフ ェ イ ス か ら GUID を セ ッ ト ア ッ プで き
ます。 DPCD1.2 バージ ョ ンの場合のみ有効。
• [31:0] - GUID DPCD フ ィ ール ド の下位 4 バ イ ト
0x0E4
RW
GUID ワー ド 1。必要に応 じ て ホ ス ト イ ン タ ーフ ェ イ ス か ら GUID を セ ッ ト ア ッ プで き
ます。 DPCD1.2 バージ ョ ンの場合のみ有効。
• [31:0] - GUID DPCD フ ィ ール ド のバ イ ト 4 ~ 7
0x0E8
RW
GUID ワー ド 2。必要に応 じ て ホ ス ト イ ン タ ーフ ェ イ ス か ら GUID を セ ッ ト ア ッ プで き
ます。 DPCD1.2 バージ ョ ンの場合のみ有効。
• [31:0] - GUID DPCD フ ィ ール ド のバ イ ト 8 ~ 11
0x0EC
RW
GUID ワー ド 3。必要に応 じ て ホ ス ト イ ン タ ーフ ェ イ ス か ら GUID を セ ッ ト ア ッ プで き
ます。 DPCD1.2 バージ ョ ンの場合のみ有効。
• [31:0] - GUID DPCD フ ィ ール ド のバ イ ト 12 ~ 15
0x0F0
RW
GUID オーバー ラ イ ド 。
• [0] : 0x1 にセ ッ ト す る と 、DPCD の GUID フ ィ ール ド は GUID ワ ー ド 0 ~ 3 に書 き 込
まれたデー タ を反映 し ます。 DPCD1.2 バージ ョ ンの場合のみ有効。 こ の レ ジ ス タ を
0x1 に設定 し た場合、 DPCD の GUID フ ィ ール ド は読み出 し 専用 (RO) と な り 、 ソ ー
ス か ら の AUX 書き 込みには NACK が返 さ れます。
RO
VERSION レ ジ ス タ 。 displayport_v5_0 の VERSION レ ジ ス タ は 32'h05_00_0_0_00 です。
• [31:24] - コ アの メ ジ ャ ー バージ ョ ン
• [23:16] - コ アのマ イ ナー バージ ョ ン
• [15:12] - コ ア バージ ョ ンの リ ビ ジ ョ ン
• [11:8] - コ アのパ ッ チ情報
• [7:0] - 内部 リ ビ ジ ョ ン
オフセ ッ ト
コ ア ID
0x0F8
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
45
第 2 章 : 製品仕様
表 2‐12 : DisplayPort シ ン ク コ アの コ ン フ ィ ギ ュ レーシ ョ ン空間 (続き)
オフセ ッ ト
R/W
定義
0x0FC
RO
CORE_ID。 コ ア固有の ID コ ー ド と 現在の リ ビ ジ ョ ン レベルを返 し ます。
• [31:24] - DisplayPort プ ロ ト コ ルの メ ジ ャ ー バージ ョ ン
• [23:16] - DisplayPort プ ロ ト コ ルのマ イ ナー バージ ョ ン
• [15:8] - DisplayPort プ ロ ト コ ルの リ ビ ジ ョ ン
• [7:0] - コ アの動作モー ド
° 0x00 : 送信
° 0x01 : 受信
次に、 各種プ ロ ト コ ルお よ びコ アに対する CORE_ID 値の例を示 し ます。
• DisplayPort v1.1a プ ロ ト コ ルの受信 コ ア : 32'h01_01_0a_01
• DisplayPort v1.2a プ ロ ト コ ルの受信 コ ア : 32'h01_02_0a_01
0x09C
RW
CFG_LINK_RATE。 上級オプシ ョ ン。 必要な リ ン ク レー ト を書 き 込み ます。 こ の値は
DPCD の機能に反映 さ れます。
0x0A0
RW
CFG_LANE_COUNT。 上級オ プ シ ョ ン。 必要 な レ ー ン 数 を 書 き 込み ま す。 こ の値は
DPCD の機能に反映 さ れます。
0x110
RO
USER_FIFO_OVERFLOW。 こ の ス テー タ ス ビ ッ ト は、ピ ク セル デー タ のユーザー デー
タ FIFO がオーバーフ ロ ー し た こ と を示 し ます。 こ の イ ベン ト は、現在の DisplayPort リ
ン ク 幅お よ び リ ン ク 速度に対 し て入力ピ ク セル ク ロ ッ ク の速度が十分でない場合に発
生 し ます。
• [0] - FIFO_OVERFLOW_FLAG (ス ト リ ーム 1) : 1 の場合、 ス ト リ ーム 1 で内部 FIFO
がオーバーフ ロ ー条件を検出 し た こ と を示 し ます。 こ の ビ ッ ト は読み出す と ク リ ア
さ れます。
• [1] - FIFO_OVERFLOW_FLAG (ス ト リ ーム 2) : 1 の場合、 ス ト リ ーム 2 で内部 FIFO
がオーバーフ ロ ー条件を検出 し た こ と を示 し ます。 こ の ビ ッ ト は読み出す と ク リ ア
さ れます。
• [2] - FIFO_OVERFLOW_FLAG (ス ト リ ーム 3) : 1 の場合、 ス ト リ ーム 3 で内部 FIFO
がオーバーフ ロ ー条件を検出 し た こ と を示 し ます。 こ の ビ ッ ト は読み出す と ク リ ア
さ れます。
• [3] - FIFO_OVERFLOW_FLAG (ス ト リ ーム 4) : 1 の場合、 ス ト リ ーム 4 で内部 FIFO
がオーバーフ ロ ー条件を検出 し た こ と を示 し ます。 こ の ビ ッ ト は読み出す と ク リ ア
さ れます。
• [4] - ビデオ ア ンパ ッ ク FIFO_OVERFLOW_FLAG (ス ト リ ーム 1) : 1 の場合、 ビデオ
ア ンパ ッ ク FIFO がオーバーフ ロ ー し た こ と を示 し ます。
• [5] - ビデオ ア ンパ ッ ク FIFO_OVERFLOW_FLAG (ス ト リ ーム 2) : 1 の場合、 ス ト リ ー
ム 2 でビデオ ア ンパ ッ ク FIFO がオーバーフ ロ ー し た こ と を示 し ます。
• [6] - ビデオ ア ンパ ッ ク FIFO_OVERFLOW_FLAG (ス ト リ ーム 3) : 1 の場合、 ス ト リ ー
ム 3 でビデオ ア ンパ ッ ク FIFO がオーバーフ ロ ー し た こ と を示 し ます。
• [7] - ビデオ ア ンパ ッ ク FIFO_OVERFLOW_FLAG (ス ト リ ーム 4) : 1 の場合、 ス ト リ ー
ム 4 でビデオ ア ンパ ッ ク FIFO がオーバーフ ロ ー し た こ と を示 し ます。
• [8] - ビデオ タ イ ミ ン グ FIFO_OVERFLOW_FLAG (ス ト リ ーム 1) : 1 の場合、 ビデオ
タ イ ミ ン グ FIFO がオーバーフ ロ ー し た こ と を示 し ます。
• [9] - ビデオ タ イ ミ ン グ FIFO_OVERFLOW_FLAG (ス ト リ ーム 2) : 1 の場合、 ス ト リ ー
ム 2 でビデオ タ イ ミ ン グ FIFO がオーバーフ ロ ー し た こ と を示 し ます。
• [10] - ビデオ タ イ ミ ン グ FIFO_OVERFLOW_FLAG (ス ト リ ーム 3) : 1 の場合、ス ト リ ー
ム 3 でビデオ タ イ ミ ン グ FIFO がオーバーフ ロ ー し た こ と を示 し ます。
• [11] - ビデオ タ イ ミ ン グ FIFO_OVERFLOW_FLAG (ス ト リ ーム 4) : 1 の場合、ス ト リ ー
ム 4 でビデオ タ イ ミ ン グ FIFO がオーバーフ ロ ー し た こ と を示 し ます。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
46
第 2 章 : 製品仕様
表 2‐12 : DisplayPort シ ン ク コ アの コ ン フ ィ ギ ュ レーシ ョ ン空間 (続き)
オフセ ッ ト
0x114
R/W
定義
RO
USER_VSYNC_STATE。 ホ ス ト プ ロ セ ッ サか ら ビデオ デー タ パ ス の状態を監視す る た
めに使用 し ます。 vsync がアサー ト さ れ る と こ の ビ ッ ト がセ ッ ト さ れます。
• [0] - ス ト リ ーム 1 の垂直同期パルス の状態
• [1] - ス ト リ ーム 2 の垂直同期パルス の状態
• [2] - ス ト リ ーム 3 の垂直同期パルス の状態
• [3] - ス ト リ ーム 4 の垂直同期パルス の状態
PHY コ ン フ ィ ギ ュ レーシ ョ ン / ス テー タ ス
0x200
DisplayPort v5.0
PG064 2014 年 11 月 19 日
RW
PHY_CONFIG。
• [1:0] - 0x3 に設定する と 、 レ シーバー PHY が リ セ ッ ト 状態に保持 さ れます。 レ シー
バー コ ア を正 し く 動作 さ せる には、 こ の値を あ ら か じ め 0 に設定 し てお く 必要があ
り ます。 DisplayPort レ シーバー コ アの PHY セ ク シ ョ ン に対す る リ セ ッ ト を制御 し
ます。 電源投入時、 こ の レ ジ ス タ の値は 0x3 です。
° [0] : GT PLL リ セ ッ ト
° [1] : GT Rx リ セ ッ ト
• [8] - 1 にセ ッ ト す る と 、 TX_PHY_PMA が リ セ ッ ト 状態に保持 さ れます。 ク リ アす る
と リ セ ッ ト か ら リ リ ース さ れます。
• [9] - 1 にセ ッ ト す る と 、 RX_PHY_PCS が リ セ ッ ト 状態に保持 さ れます。 ク リ アす る
と リ セ ッ ト か ら リ リ ース さ れます。
• [10] - 1 にセ ッ ト する と 、 RX_PHY_BUF が リ セ ッ ト 状態に保持 さ れます。 ク リ アす
る と リ セ ッ ト か ら リ リ ース さ れます。
• [11] - 1 にセ ッ ト す る と 、 RX_PHY_DFE_LPM が リ セ ッ ト 状態に保持 さ れます。 ク リ
アす る と リ セ ッ ト か ら リ リ ース さ れます。
• [12] - RX_PHY_POLARITY を設定 し ます。 デフ ォ ル ト 値は 0 です。
• [15:13] - RX_PHY_LOOPBACK を設定 し ます。 デフ ォ ル ト 値は 0 です。
• [16] - 1 にセ ッ ト する と 、 RX_PHY_EYESCAN が リ セ ッ ト 状態に保持 さ れます。 ク リ
アす る と リ セ ッ ト か ら リ リ ース さ れます。
• [17] - RX_PHY_EYESCANTRIGGER を設定 し ます。
• [18] - 1 にセ ッ ト する と 、 RX_PHY_PRBSCNT が リ セ ッ ト 状態に保持 さ れます。 ク リ
アす る と リ セ ッ ト か ら リ リ ース さ れます。
• [19] - RX_PHY_RXLPMHFHOLD を設定 し ます。 デフ ォ ル ト 値は 0 です。
• [20] - RX_PHY_RXLPMLFHOLD を設定 し ます。 デフ ォ ル ト 値は 0 です。
• [21] - RX_PHY_RXLPMHFOVERDEN を設定 し ます。 デフ ォ ル ト 値は 0 です。
• [22] - RX_PHY_CDRHOLD を設定 し ます。 デフ ォ ル ト 値は 0 です。
• [23] - 1 にセ ッ ト する と 、 ト レーニ ン グの反復のたびに リ セ ッ ト を発行 し ます。 推奨
値は 1 です。 上級ユーザーのみ設定を変更で き ます。
• [24] - 1 にセ ッ ト す る と 、 リ ン ク レー ト が変更 さ れ る たびに リ セ ッ ト を発行 し ます。
推奨値は 1 です。 上級ユーザーのみ設定を変更で き ます。
• [25] - 1 にセ ッ ト する と 、 ト レーニ ン グ パ タ ーン 1 開始時に リ セ ッ ト を発行 し ます。
推奨値は 1 です。 上級ユーザーのみ設定を変更で き ます。
• [26] - 個々の レーンの極性を有効に し ます。 0 にセ ッ ト す る と 、 すべての レーンで
ビ ッ ト [12] に よ る 共通の極性制御を使用 し ます。
• [27] - レーン 0 の RX_PHY_POLARITY を設定 し ます。
• [28] - レーン 1 の RX_PHY_POLARITY を設定 し ます。
• [29] - レーン 2 の RX_PHY_POLARITY を設定 し ます。
• [30] - レーン 3 の RX_PHY_POLARITY を設定 し ます。
プ ロ グ ラ ムの詳細は、該当する ト ラ ン シーバーのユーザー ガ イ ド を参照 し て く だ さ い。
japan.xilinx.com
47
第 2 章 : 製品仕様
表 2‐12 : DisplayPort シ ン ク コ アの コ ン フ ィ ギ ュ レーシ ョ ン空間 (続き)
オフセ ッ ト
R/W
定義
0x208
RO
PHY_STATUS。 レ シーバー コ ア PHY の ス テー タ ス を示 し ます。
• [1:0] - レーン 0 お よ び 1 ( タ イ ル 0) の リ セ ッ ト が完了
• [3:2] - レーン 2 お よ び 3 ( タ イ ル 1) の リ セ ッ ト が完了
• [4] - レーン 0 お よ び 1 ( タ イ ル 0) の PLL が ロ ッ ク し てい る
• [5] - レーン 2 お よ び 3 ( タ イ ル 1) の PLL が ロ ッ ク し てい る
• [6] - FPGA フ ァ ブ リ ッ ク の ク ロ ッ ク PLL が ロ ッ ク し てい る
• [7] - レ シーバー コ アが ロ ッ ク し てい る
• [9:8] - レーン 0 お よ び 1 の PRBS エ ラ ー
• [11:10] - レーン 2 お よ び 3 の PRBS エ ラ ー
• [13:12] - レーン 0 お よ び 1 の RX 電圧低下
• [15:14] - レーン 2 お よ び 3 の RX 電圧低下
• [16] - レーン 0 の レーン ア ラ イ メ ン ト
• [17] - レーン 1 の レーン ア ラ イ メ ン ト
• [18] - レーン 2 の レーン ア ラ イ メ ン ト
• [19] - レーン 3 の レーン ア ラ イ メ ン ト
• [20] - レーン 0 シ ン ボル ロ ッ ク
• [21] - レーン 1 シ ン ボル ロ ッ ク
• [22] - レーン 2 シ ン ボル ロ ッ ク
• [23] - レーン 3 シ ン ボル ロ ッ ク
• [25:24] - レーン 0 Rx バ ッ フ ァ ー ス テー タ ス
• [27:26] - レーン 1 Rx バ ッ フ ァ ー ス テー タ ス
• [29:28] - レーン 2 Rx バ ッ フ ァ ー ス テー タ ス
• [31:30] - レーン 3 Rx バ ッ フ ァ ー ス テー タ ス
0x210
RW
RX_PHY_POWER_DOWN。 こ れ ら のビ ッ ト を使用 し て、 レ シーバー コ アは条件に応 じ
て PHY の レーン を個別にパ ワー ダ ウ ンで き ます (各テ ク ノ ロ ジ イ ンプ リ メ ン テーシ ョ
ンでサポー ト さ れてい る 場合のみ)。 こ れ ら の ビ ッ ト は、 ト レーニ ン グ プ ロ セ ス が完了
し て リ ン ク が安定 し た後に書き 込む よ う に し て く だ さ い。
• [3] - LANE_3_POWER_DOWN : 1 にセ ッ ト する と レーン 3 の PHY がパ ワー ダ ウ ン し
ます。
• [2] - LANE_2_POWER_DOWN : 1 にセ ッ ト する と レーン 2 の PHY がパ ワー ダ ウ ン し
ます。
• [1] - LANE_1_POWER_DOWN : 1 にセ ッ ト する と レーン 1 の PHY がパ ワー ダ ウ ン し
ます。
• [0] - LANE_0_POWER_DOWN : 1 にセ ッ ト する と レーン 0 の PHY がパ ワー ダ ウ ン し
ます。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
48
第 2 章 : 製品仕様
表 2‐12 : DisplayPort シ ン ク コ アの コ ン フ ィ ギ ュ レーシ ョ ン空間 (続き)
R/W
定義
0x214
RW
MIN_VOLTAGE_SWING。 一部の DisplayPort イ ンプ リ メ ン テーシ ョ ンでは、 安定 し た リ
ン ク を確立す る ために ト ラ ン ス ミ ッ タ ーがあ ら か じ め ト レーニ ン グ中の最小電圧幅を
設定 し てお く 必要があ り ます。 こ のレ ジ ス タ で設定し た最小値 と TRAINING_LANEX_
SET DPCD レ ジ ス タ の値は一致する必要があ り ます。 こ の値に達する ま で、 内部 ト レー
ニ ン グ ロ ジ ッ ク は強制的に ト レーニ ン グ を失敗 さ せます。
• [1:0] - DisplayPort 規格で定義 さ れた TRAINING_LANEX_SET レ ジ ス タ と 一致 さ せ る
最小電圧幅を設定 し ます。
• [3:2] - ク ロ ッ ク リ カバ リ オプシ ョ ン (上級ユーザー専用)
° 00 : デフ ォ ル ト (1 回の反復ご と に電圧幅調整要求を 1 つずつ イ ン ク リ メ ン ト )
° 01 : 4 回ま たは VSWING_SWEEP_CNT 回の反復ご と に電圧調整要求を イ ン ク リ メ
ント
10
: すべての反復において電圧調整要求を SET_VSWING の値に固定
°
11
:
該当な し
°
• [6:4] - VSWING_SWEEP_CNT (上級ユーザー専用)
• [9:8] - SET_VSWING (上級ユーザー専用)
• [11:10] - チ ャ ネル等化オプシ ョ ン (上級ユーザー専用)
° 00 : デフ ォ ル ト (プ リ エン フ ァ シ ス上限値 (SET_PREEMP) に達す る ま で 1 回の反
復ご と にプ リ エン フ ァ シ ス調整要求を 1 つずつ イ ン ク リ メ ン ト )
° 01 : すべての反復においてプ リ エン フ ァ シ ス調整要求を SET_PREEMP の値に固定
° 10 : PREEMP_TABLE か ら 値を選択
° 11 : 該当な し
• [13:12] - SET_PREEMP (上級ユーザー専用)
• [23:14] - PREEMP_TABLE (上級ユーザー専用)
° 15:14 : 反復 1 のプ リ エン フ ァ シ ス要求レベル
° 17:16 : 反復 2 のプ リ エン フ ァ シ ス要求レベル
° 19:18 : 反復 3 のプ リ エン フ ァ シ ス要求レベル
° 21:20 : 反復 4 のプ リ エン フ ァ シ ス要求レベル
° 23:22 : 反復 5 のプ リ エン フ ァ シ ス要求レベル
0x21C
RW
CDR_CONTROL_CONFIG。
• [19:0] : CDR tDLOCK タ イ ム ア ウ ト 値を制御 し ます。こ のカ ウ ン タ ーは PHY モジ ュ ー
ルの AXI4-Lite ク ロ ッ ク で動作 し ます。 デフ ォ ル ト 値は 20'h11364 です。
• [31] : DFE 制御を使用 し ます。 GTX/GTH で 5.4G を使用す る 場合のみ適用 さ れます。
デフ ォ ル ト 値は 1'b1 です。
0x2A0
RW
GT_DRP_COMMAND。 GT DRP ポー ト へのア ク セ ス に使用 し ます。 すべてのチ ャ ネル
で同 じ 設定を使用 し ます。
• [7:0] - DRP ア ド レ ス
• [15] - DRP 書 き 込み/読み出 し コ マ ン ド
° 1 : 書 き 込み
° 0 : 読み出 し
• [31:16] - DRP 書き 込みデー タ (読み出 し コ マ ン ド の場合は無効)
0x2A4
RO
GT_DRP_READ_DATA。 GT DRP READ デー タ へのア ク セ ス に使用 し ます。 DRP Ready
信号がアサー ト さ れ る と デー タ がサンプル さ れます。
• [15:0] - DRP 読み出 し デー タ 。 DRP コ マ ン ド レ ジ ス タ を発行後、 DRP ア ク セ ス が完
了す る 前にデー タ を読み出すのを防ぐ ため、 ソ フ ト ウ ェ ア側で待ち時間 (通常は 10 *
AXI4-Lite ク ロ ッ ク 周期) を挿入す る 必要があ り ます。
0x2A8
RO
GT_DRP_CHANNEL_STATUS。GT DRP CHANNEL STATUS へのア ク セ スに使用 し ます。
• [0] - DRP が ロ ッ ク し てい る 。IP ス テー ト マシ ンが GT DRP を使用す る と アサー ト さ
れます。 ソ フ ト ウ ェ アで こ のビ ッ ト を ポー リ ン グ し 、 値が 0 の場合のみ読み出 し /書
き 込み ト ラ ンザ ク シ ョ ン を開始 し ます。
オフセ ッ ト
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
49
第 2 章 : 製品仕様
表 2‐12 : DisplayPort シ ン ク コ アの コ ン フ ィ ギ ュ レーシ ョ ン空間 (続き)
R/W
定義
12'h300
RW
RX_AUDIO_CONTROL。 メ イ ン リ ン ク でオーデ ィ オ ス ト リ ーム パケ ッ ト を有効に し
ます。
• [0] - オーデ ィ オ イ ネーブル
12'h304
RO
RX_AUDIO_INFO_DATA。
[31:0] CEA 861-C InfoFrame フ ォーマ ッ ト の ワー ド 。 合計 8 ワー ド を読み出す必要があ
り ます。
• 第 1 ワー ド [7:0] = HB0
[15:8] = HB1
[23:16] = HB2
[31:24] = HB3
• 第 2 ワー ド - DB3、 DB2、 DB1、 DB0
…
• 第 8 ワー ド -DB27、 DB26、 DB25、 DB24
CEA InfoFrame のデー タ バ イ ト DB1…DBN が DB0…DBN-1 にマ ッ ピ ン グ さ れます。こ
れ ら の レ ジ ス タ には InfoFrame デー タ が コ ピー さ れます (読み出 し 専用)。
12'h324
RO
RX_AUDIO_MAUD。 シ ン ク がオーデ ィ オ タ イ ム ス タ ンプ パケ ッ ト か ら デ コ ー ド し た
オーデ ィ オ ス ト リ ームの M 値 (読み出 し 専用)。
• [31:24] - 予約
• [23:0] - MAUD
12'h328
RO
RX_AUDIO_NAUD。 シ ン ク がオーデ ィ オ タ イ ム ス タ ンプ パケ ッ ト か ら デ コ ー ド し た
オーデ ィ オ ス ト リ ームの N 値 (読み出 し 専用)。
• [31:24] - 予約
• [23:0] - NAUD
12'h32C
RO
RX_AUDIO_STATUS。
• [9] - 拡張パケ ッ ト を受信。 9 ワー ド すべて を読み出す と 自動的に リ セ ッ ト さ れます。
ホ ス ト がデー タ を読み出すま で新規パケ ッ ト はブ ロ ッ ク さ れます。
• [8:3] - 予約
• [2:1] - RS デコ ーダー エ ラ ー カ ウ ン タ ー。 デバ ッ グに使用 し ます。
• [0] - 情報パケ ッ ト を受信。 8 ワー ド の情報パケ ッ ト をすべて読み出す と 自動的に リ
セ ッ ト さ れます。 ホ ス ト がデー タ を読み出すま で新規パケ ッ ト はブ ロ ッ ク さ れます。
12'h330 ~
12'h350
RO
RX_AUDIO_EXT_DATA
• [31:0] - DisplayPort 規格で定義 さ れた拡張パケ ッ ト フ ォーマ ッ ト の ワー ド 。シ ン ク コ
ン ト ロ ー ラ ーでは、 パケ ッ ト 長は 32 バ イ ト に固定 さ れます。
ソ ース コ ン ト ロ ー ラ ーが正 し いパケ ッ ト サ イ ズ を送信で き る よ う に、ベン ダー フ ィ ー
ル ド を使用 し てユーザーが こ の情報を ソ ース に伝え る 必要があ り ます。 合計 9 ワ ー ド
を読み出す必要があ り ます。
• 第 1 ワー ド [7:0] = HB0
[15:8] = HB1
[23:16] = HB2
[31:24] = HB3
• 第 2 ワー ド - DB3、 DB2、 DB1、 DB0
…
• 第 9 ワー ド - DB31、 DB30、 DB29、 DB28
こ れ ら の レ ジ ス タ には拡張パケ ッ ト デー タ が コ ピー さ れます (読み出 し 専用)。 こ れは
キーホール メ モ リ です。 こ のため、 こ のア ド レ ス空間か ら 9 回の読み出 し が必要です。
オフセ ッ ト
DisplayPort オーデ ィ オ
DPCD コ ン フ ィ ギ ュ レーシ ョ ン空間 (詳細は DisplayPort 1.1a 規格を参照)
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
50
第 2 章 : 製品仕様
表 2‐12 : DisplayPort シ ン ク コ アの コ ン フ ィ ギ ュ レーシ ョ ン空間 (続き)
R/W
定義
0x400
RO
DPCD_LINK_BW_SET。 リ ン ク 帯域幅を設定 し ます。
• [7:0] - リ ン ク 帯域幅が 2.7Gbps の場合は 0x0A、 1.62Gbps の場合は 0x06、 5.4Gbps の
場合は 0x14 に設定 し ます。
0x404
RO
DPCD_LANE_COUNT_SET。 ト ラ ン ス ミ ッ タ ーに よ っ て有効に さ れてい る レーンの数。
• [4:0] - 接続先の ト ラ ン ス ミ ッ タ ーに よ っ て現在有効に さ れてい る レーンの数を格納
し ます。 有効な値の範囲は 1 ~ 4 です。
0x408
RO
DPCD_ENHANCED_FRAME_EN。ト ラ ン ス ミ ッ タ ーが拡張フ レー ミ ン グ シ ン ボル モー
ド を有効に し てい る こ と を示 し ます。
• [0] - 1 にセ ッ ト す る と 、 拡張フ レー ミ ン グ モー ド が有効にな り ます。
0x40C
RO
DPCD_TRAINING_PATTERN_SET。 ト レーニ ン グ パ タ ーン レ ジ ス タ の現在の値。
• [1:0] - TRAINING_PATTERN_SET : 下記の 2 ビ ッ ト コ ー ド で リ ン ク ト レーニ ン グ パ
タ ーン を設定 し ます。
° 00 = ト レーニ ン グが進行中でない
° 01 = ト レーニ ン グ パ タ ーン 1
° 10 = ト レーニ ン グ パ タ ーン 2
° 11 = 予約
0x410
RO
DPCD_LINK_QUALITY_PATTERN_SET。 DPCD ト レーニ ン グ パ タ ーン レ ジ ス タ の リ
ン ク 品質パ タ ーン フ ィ ール ド の現在の値。
• [1:0] - ト ラ ン ス ミ ッ タ ーが送信中の リ ン ク 品質パ タ ーン
° 00 = リ ン ク 品質テ ス ト パ タ ーン を送信 し ない
° 01 = D10.2 テ ス ト パ タ ーン (ス ク ラ ンブルな し ) を送信
° 10 = シ ン ボル エ ラ ー レー ト 計測パ タ ーン
° 11 = PRBS7 を送信
0x414
RO
DPCD_RECOVERED_CLOCK_OUT_EN。 DPCD ト レ ーニ ン グ パ タ ーン レ ジ ス タ の出
力 ク ロ ッ ク イ ネーブル フ ィ ール ド の値。
• [0] - 1 の場合、 リ カバ リ し た レ シーバー ク ロ ッ ク がテ ス ト ポー ト に出力 さ れます。
0x418
RO
DPCD_SCRAMBLING_DISABLE。 DPCD ト レ ーニ ン グ パ タ ーン レ ジ ス タ の ス ク ラ ン
ブ リ ン グ デ ィ ス エーブル フ ィ ール ド の値。
• [0] - 1 の場合、 ト ラ ン ス ミ ッ タ ーは ス ク ラ ンブ ラ ーを無効に し てすべてのシ ン ボル
を送信 し ます。
0x41C
RO
DPCD_SYMBOL_ERROR_COUNT_SELECT。DPCD ト レーニ ン グ パ タ ーン レ ジ ス タ の
シ ン ボル エ ラ ー カ ウ ン ト 選択フ ィ ール ド の現在の値。
• [1:0] - SYMBOL_ERROR_COUNT_SEL
° 00 = デ ィ スパ リ テ ィ エ ラ ー と 不正シ ン ボルエ ラ ー
° 01 = デ ィ スパ リ テ ィ エ ラ ー
° 10 = 不正シ ン ボル エ ラ ー
° 11 = 予約
オフセ ッ ト
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
51
第 2 章 : 製品仕様
表 2‐12 : DisplayPort シ ン ク コ アの コ ン フ ィ ギ ュ レーシ ョ ン空間 (続き)
R/W
定義
0x420
RO
DPCD_TRAINING_LANE_0_SET。 リ ン ク ト レーニ ン グ中に ト ラ ン ス ミ ッ タ ーが こ の値
を使用 し て レーン 0 の レ シーバー PHY を設定 し ます。
• [1:0] - VOLTAGE_SWING_SET
° 00 = ト レーニ ン グ パ タ ーン 1、 電圧幅レベル 0
° 01 = ト レーニ ン グ パ タ ーン 1、 電圧幅レベル 1
° 10 = ト レーニ ン グ パ タ ーン 1、 電圧幅レベル 2
° 11 = ト レーニ ン グ パ タ ーン 1、 電圧幅レベル 3
• [2] - MAX_SWING_REACHED : 最大駆動電流の設定値に達する と 1 にセ ッ ト さ れます。
• [4:3] - PRE-EMPHASIS_SET
° 00 = ト レーニ ン グ パ タ ーン 2、 プ リ エン フ ァ シ ス な し
° 01 = ト レーニ ン グ パ タ ーン 2、 プ リ エン フ ァ シ ス レベル 1
° 10 = ト レーニ ン グ パ タ ーン 2、 プ リ エン フ ァ シ ス レベル 2
° 11 = ト レーニ ン グ パ タ ーン 2、 プ リ エン フ ァ シ ス レベル 3
• [5] - MAX_PRE-EMPHASIS_REACHED : 最大プ リ エン フ ァ シ ス の設定値に達す る と
1 にセ ッ ト さ れます。
0x424
RO
DPCD_TRAINING_LANE_1_SET。 リ ン ク ト レーニ ン グ中に ト ラ ン ス ミ ッ タ ーが こ の値
を使用 し て レーン 0 の レ シーバー PHY を設定 し ます。 こ の レ ジ ス タ の フ ィ ール ド は、
DPCD_TRAINING_LANE_0_SET と 同 じ です。
0x428
RO
DPCD_TRAINING_LANE_2_SET。 リ ン ク ト レーニ ン グ中に ト ラ ン ス ミ ッ タ ーが こ の値
を使用 し て レーン 0 の レ シーバー PHY を設定 し ます。 こ の レ ジ ス タ の フ ィ ール ド は、
DPCD_TRAINING_LANE_0_SET と 同 じ です。
0x42C
RO
DPCD_TRAINING_LANE_3_SET。 リ ン ク ト レーニ ン グ中に ト ラ ン ス ミ ッ タ ーが こ の値
を使用 し て レーン 0 の レ シーバー PHY を設定 し ます。 こ の レ ジ ス タ の フ ィ ール ド は、
DPCD_TRAINING_LANE_0_SET と 同 じ です。
0x430
RO
DPCD_DOWNSPREAD_CONTROL。 ト ラ ン ス ミ ッ タ ーは こ のビ ッ ト を使用 し てダ ウ ン
ス プ レ ッ ド が有効であ る こ と を レ シーバー コ アに知 ら せます。
• [0] - SPREAD_AMP : 0.5% ダ ウ ン ス プ レ ッ ド の場合は 1、 ダ ウ ン ス プ レ ッ ド を使用 し
ない場合は 0 にセ ッ ト さ れます。
0x434
RO
DPCD_MAIN_LINK_CHANNEL_CODING_SET。 ト ラ ン ス ミ ッ タ ーは、 こ の レ ジ ス タ
ビ ッ ト を使用 し て 8B/10B 符号化を無効にで き ます。
• [0] - 0 にセ ッ ト す る と 、 8B/10B チ ャ ネル符号化が無効にな り ます。 デフ ォ ル ト 値は
1 です。
0x438
RO
DPCD_SET_POWER_STATE。 ソ ース コ アに よ っ て要求 さ れた電力ス テー ト 。 リ セ ッ ト
す る と 、 電力ス テー ト はパ ワ ー ダ ウ ン モー ド に設定 さ れます。
• [1:0] - 要求 さ れた電力ス テー ト
° 00 = 予約
° 01 = ス テー ト D0 (通常動作)
° 10 = ス テー ト D3 (パ ワー ダ ウ ン モー ド )
° 11 = 予約
0x43C
RO
DPCD_LANE01_STATUS。 レーン 0 お よ びレーン 1 の ト レーニ ン グ ス テー タ ス レ ジ ス
タ の値。
• [6] - LANE_1_SYMBOL_LOCKED
• [5] - LANE_1_CHANNEL_EQ_DONE
• [4] - LANE_1_CLOCK_RECOVERY_DONE
• [2] - LANE_0_SYMBOL_LOCKED
• [1] - LANE_0_CHANNEL_EQ_DONE
• [0] - LANE_0_CLOCK_RECOVERY_DONE
オフセ ッ ト
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
52
第 2 章 : 製品仕様
表 2‐12 : DisplayPort シ ン ク コ アの コ ン フ ィ ギ ュ レーシ ョ ン空間 (続き)
R/W
定義
0x440
RO
DPCD_LANE23_STATUS。 レーン 2 お よ びレーン 3 の ト レーニ ン グ ス テー タ ス レ ジ ス
タ の値。
• [6] - LANE_3_SYMBOL_LOCKED
• [5] - LANE_3_CHANNEL_EQ_DONE
• [4] - LANE_3_CLOCK_RECOVERY_DONE
• [2] - LANE_2_SYMBOL_LOCKED
• [1] - LANE_2_CHANNEL_EQ_DONE
• [0] - LANE_2_CLOCK_RECOVERY_DONE
0x444
RO
SOURCE_OUI_VALUE。ト ラ ン ス ミ ッ タ ーが DPCD レ ジ ス タ AUX ト ラ ンザ ク シ ョ ン経
由で書き 込んだ OUI (Organizationally Unique Identifier) の値。
• [23:0] - ト ラ ン ス ミ ッ タ ーが設定 し た OUI の値を格納 し ます。 ホ ス ト ポ リ シー メ ー
カーは こ の値を使用 し て リ ン ク 両端で特殊機能を有効に し ます。
0x448
RC/RO
SYM_ERR_CNT01。 レーン 0 お よ び 1 のシ ン ボル エ ラ ー カ ウ ン タ ーを示 し ます。 こ の
レ ジ ス タ を読み出す と 、 レーン 0 お よ び 1 のエ ラ ー カ ウ ン ト が ク リ ア さ れます。
• [32] = レーン 1 のエ ラ ー カ ウ ン ト Valid 信号
• [30:16] = レーン 1 のエ ラ ー カ ウ ン ト
• [15] = レーン 0 のエ ラ ー カ ウ ン ト Valid 信号
• [14:0] = レーン 0 のエ ラ ー カ ウ ン ト
0x44C
RC
SYM_ERR_CNT23。 レーン 2 お よ び 3 のシ ン ボル エ ラ ー カ ウ ン タ ーを示 し ます。 こ の
レ ジ ス タ を読み出す と 、 レーン 2 お よ び 3 のエ ラ ー カ ウ ン ト が ク リ ア さ れます。
• [32] = レーン 3 のエ ラ ー カ ウ ン ト Valid 信号
• [30:16] = レーン 3 のエ ラ ー カ ウ ン ト
• [15] = レーン 2 のエ ラ ー カ ウ ン ト Valid 信号
• [14:0] = レーン 2 のエ ラ ー カ ウ ン ト
0x500
RO
MSA_HRES。 MSA で検出 し た水平解像度。
• [15:0] - ビデオ ラ イ ン あ た り の ピ ク セル数を表 し ます。
0x504
RO
MSA_HSPOL。 水平同期極性。
• [0] - ト ラ ン ス ミ ッ タ ーに よ っ て要求 さ れた水平同期の極性を示 し ます。
0x508
RO
MSA_HSWIDTH。 水平同期パルス の幅を設定 し ます。
• [14:0] - 水平同期の幅を リ カバ リ し た ビデオ ク ロ ッ ク 数で指定 し ます。
0x50C
RO
MSA_HSTART。 こ の MSA は、 水平同期の立ち上が り エ ッ ジか ら 有効デー タ の最初の
サ イ ク ルま での ク ロ ッ ク サ イ ク ル数です。
• [15:0] - 有効デー タ 開始ま でのブ ラ ン キ ン グ サ イ ク ル数
0x510
RO
MSA_HTOTAL。 水平同期パルス の立ち上が り エ ッ ジか ら 次の立ち上が り エ ッ ジ ま での
ビデオ ク ロ ッ ク サ イ ク ル数を レ シーバー コ アに知 ら せます。
• [15:0] - デー タ ラ イ ン あ た り の総ビデオ ク ロ ッ ク 数
0x514
RO
MSA_VHEIGHT。 ビデオ フ レーム あ た り の有効ビデオ ラ イ ン総数。
• [15:0] - 受信 し た ビデオの垂直解像度
0x518
RO
MSA_VSPOL。 ト ラ ン ス ミ ッ タ ーに よ っ て要求 さ れた垂直同期の極性を指定 し ます。
• [0] - 1 の場合はア ク テ ィ ブ High の垂直同期、 0 の場合はア ク テ ィ ブ Low の垂直同期
であ る こ と を示 し ます。
0x51C
RO
MSA_VSWIDTH。 ト ラ ン ス ミ ッ タ ーは、 こ の値を使用 し て垂直同期パルス の幅を ラ イ
ン単位で指定 し ます。
• [14:0] - 垂直同期パルス の立ち上が り エ ッ ジか ら 立ち下が り エ ッ ジ ま での ラ イ ン数を
指定 し ます。
オフセ ッ ト
MSA 値
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
53
第 2 章 : 製品仕様
表 2‐12 : DisplayPort シ ン ク コ アの コ ン フ ィ ギ ュ レーシ ョ ン空間 (続き)
R/W
定義
0x520
RO
MSA_VSTART。 こ の MSA は、 垂直同期パル ス の立ち上が り エ ッ ジか ら 有効デー タ の
最初の ラ イ ン ま での ラ イ ン数を指定 し ます。
• [15:0] - 有効デー タ が開始す る ま でのブ ラ ン キ ン グ ラ イ ン数
0x524
RO
MSA_VTOTAL。 垂直同期パルス の立ち上が り エ ッ ジか ら 次の立ち上が り エ ッ ジ ま での
ラ イ ン総数。
• [15:0] - ビデオ フ レーム あ た り の総 ラ イ ン数を格納 し ます。
0x528
RO
MSA_MISC0。 MISC0 属性デー タ の値を格納 し ます。
• [7:5] - COLOR_DEPTH : カ ラ ー / コ ン ポーネ ン ト あ た り のビ ッ ト 数
• [4] - YCbCR_COLOR : ITU-R BT709-5 の場合は 1、 ITU-R BT601-5 の場合は 0 にセ ッ
ト し ます。
• [3] - DYNAMIC_RANGE : CEA レ ン ジの場合は 1、 VESA レ ン ジの場合は 0 にセ ッ ト
し ます。
• [2:1] - COMPONENT_FORMAT :
° 00 = RGB
° 01 = YCbCr 4:2:2
° 10 = YCbCr 4:4:4
° 11 = 予約
• [0] - CLOCK_MODE :
° 0= 非同期 ク ロ ッ ク モー ド
° 1= 同期 ク ロ ッ ク モー ド
0x52C
RO
MSA_MISC1。 MISC1 属性デー タ の値を格納 し ます。
• [7] - DisplayPort 規格のセ ク シ ョ ン 2.2.4 で定義 さ れた DisplayPort MISC1 レ ジ ス タ に
含まれ る 属性情報を実装 し ます。
• [6:3] - 予約 : こ れ ら のビ ッ ト は常に 0 にセ ッ ト さ れます。
• [2:1] - STEREO_VIDEO : ス テ レ オ ビデオ ソ ース を送信す る 場合のみ使用 し ます。 詳
細は、 DisplayPort 規格 v1.1a のセ ク シ ョ ン 2.24 を参照 し て く だ さ い。
• [0] - INTERLACED_EVEN : 1 の場合、 フ レーム あ た り の ラ イ ン数が偶数であ る こ と
を示 し ます。
0x530
RO
MSA_MVID。 こ の属性値は、 リ ン ク ク ロ ッ ク か ら ビデオ ク ロ ッ ク を リ カバ リ す る ため
に 使用 し ま す。 リ カ バ リ 後 の ク ロ ッ ク 周波数は、 こ の 値 と CLOCK_MODE お よ び
MSA_NVID レ ジ ス タ に よ っ て決ま り ます。
• [23:0] - MVID : ク ロ ッ ク リ カバ リ に使用する M 値
0x534
RO
MSA_NVID。 こ の属性値は、 リ ン ク ク ロ ッ ク か ら ビデオ ク ロ ッ ク を リ カバ リ す る ため
に 使用 し ま す。 リ カ バ リ 後 の ク ロ ッ ク 周波数は、 こ の 値 と CLOCK_MODE お よ び
MSA_MVID レ ジ ス タ に よ っ て決ま り ます。
• [23:0] - NVID : ク ロ ッ ク リ カバ リ に使用する N 値
0x538
RO
MSA_VBID。 最後に受信 し た VB-ID の値が こ の レ ジ ス タ に格納 さ れます。
• [7:0] - VBID : 詳細は、 DisplayPort 規格の Table 2-3 (p.44) を参照 し て く だ さ い。
0x540
RO
MSA_HRES_STREAM2。 MSA で検出 し た水平解像度。
• [15:0] - ビデオ ラ イ ン あ た り の ピ ク セル数を表 し ます。
0x544
RO
MSA_HSPOL_STREAM2。 水平同期極性。
• [0] - ト ラ ン ス ミ ッ タ ーに よ っ て要求 さ れた水平同期の極性を示 し ます。
0x548
RO
MSA_HSWIDTH_STREAM2。 水平同期パルス の幅を設定 し ます。
• [14:0] - 水平同期の幅を リ カバ リ し た ビデオ ク ロ ッ ク 数で指定 し ます。
オフセ ッ ト
MST MSA 値
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
54
第 2 章 : 製品仕様
表 2‐12 : DisplayPort シ ン ク コ アの コ ン フ ィ ギ ュ レーシ ョ ン空間 (続き)
R/W
定義
0x54C
RO
MSA_HSTART_STREAM2。 水平同期の立ち上が り エ ッ ジか ら 有効デー タ の最初のサ イ
ク ルま での ク ロ ッ ク サ イ ク ル数。
• [15:0] - 有効デー タ 開始ま でのブ ラ ン キ ン グ サ イ ク ル数
0x550
RO
MSA_HTOTAL_STREAM2。 水平同期パル ス の立ち上が り エ ッ ジ か ら 次の立ち上が り
エ ッ ジ ま での ビデオ ク ロ ッ ク サ イ ク ル数を レ シーバー コ アに知 ら せます。
• [15:0] - デー タ ラ イ ン あ た り の総ビデオ ク ロ ッ ク 数
0x554
RO
MSA_VHEIGHT_STREAM2。 ビデオ フ レーム あ た り の有効ビデオ ラ イ ン総数。
• [15:0] - 受信 し た ビデオの垂直解像度
0x558
RO
MSA_VSPOL_STREAM2。 ト ラ ン ス ミ ッ タ ーに よ っ て要求 さ れた垂直同期の極性を指
定 し ます。
• [0] - 1 の場合はア ク テ ィ ブ High の垂直同期、 0 の場合はア ク テ ィ ブ Low の垂直同期
であ る こ と を示 し ます。
0x55C
RO
MSA_VSWIDTH_STREAM2。 ト ラ ン ス ミ ッ タ ーは、 こ の値を使用 し て垂直同期パルス
の幅を ラ イ ン単位で指定 し ます。
• [14:0] - 垂直同期パルス の立ち上が り エ ッ ジか ら 立ち下が り エ ッ ジ ま での ラ イ ン数を
指定 し ます。
0x560
RO
MSA_VSTART_STREAM2。 垂直同期パルス の立ち上が り エ ッ ジか ら 有効デー タ の最初
の ラ イ ン ま での ラ イ ン数。
• [15:0] - 有効デー タ が開始す る ま でのブ ラ ン キ ン グ ラ イ ン数
0x564
RO
MSA_VTOTAL_STREAM2。 垂直同期パル ス の立ち上が り エ ッ ジ か ら 次の立ち上が り
エ ッ ジ ま での ラ イ ン総数。
• [15:0] - ビデオ フ レーム あ た り の総 ラ イ ン数を格納 し ます。
0x568
RO
MSA_MISC0_STREAM2。 MISC0 属性デー タ の値を格納 し ます。
• [7:5] - COLOR_DEPTH : カ ラ ー / コ ン ポーネ ン ト あ た り のビ ッ ト 数
• [4] - YCbCR_COLOR : ITU-R BT709-5 の場合は 1、 ITU-R BT601-5 の場合は 0 にセ ッ
ト し ます。
• [3] - DYNAMIC_RANGE : CEA レ ン ジの場合は 1、 VESA レ ン ジの場合は 0 にセ ッ ト
し ます。
• [2:1] - COMPONENT_FORMAT :
° 00 = RGB
° 01 = YCbCr 4:2:2
° 10 = YCbCr 4:4:4
° 11 = 予約
• [0] - CLOCK_MODE :
° 0= 同期 ク ロ ッ ク モー ド
° 1 = 非同期 ク ロ ッ ク モー ド
0x56C
RO
MSA_MISC1_STREAM2。 MISC1 属性デー タ の値を格納 し ます。
• [7] - DisplayPort 規格のセ ク シ ョ ン 2.2.4 で定義 さ れた DisplayPort MISC1 レ ジ ス タ に
含まれ る 属性情報を実装 し ます。
• [6:3] - 予約 : こ れ ら のビ ッ ト は常に 0 にセ ッ ト さ れます。
• [2:1] - STEREO_VIDEO : ス テ レ オ ビデオ ソ ース を送信す る 場合のみ使用 し ます。 詳
細は、 DisplayPort 規格 v1.1a のセ ク シ ョ ン 2.24 を参照 し て く だ さ い。
• [0] - INTERLACED_EVEN : 1 の場合、 フ レーム あ た り の ラ イ ン数が偶数であ る こ と
を示 し ます。
0x570
RO
MSA_MVID_STREAM2。 こ の属性値は、 リ ン ク ク ロ ッ ク か ら ビデオ ク ロ ッ ク を リ カ
バ リ す る ために使用 し ます。 リ カバ リ 後の ク ロ ッ ク 周波数は、こ の値 と CLOCK_MODE
お よ び MSA_NVID レ ジ ス タ に よ っ て決ま り ます。
• [23:0] - MVID : ク ロ ッ ク リ カバ リ に使用する M 値
オフセ ッ ト
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
55
第 2 章 : 製品仕様
表 2‐12 : DisplayPort シ ン ク コ アの コ ン フ ィ ギ ュ レーシ ョ ン空間 (続き)
R/W
定義
0x574
RO
MSA_NVID_STREAM2。 こ の属性値は、 リ ン ク ク ロ ッ ク か ら ビデオ ク ロ ッ ク を リ カバ
リ す る ために使用 し ます。 リ カバ リ 後の ク ロ ッ ク 周波数は、 こ の値 と CLOCK_MODE
お よ び MSA_MVID レ ジ ス タ に よ っ て決ま り ます。
• [23:0] - NVID : ク ロ ッ ク リ カバ リ に使用する N 値
0x578
RO
MSA_VBID_STREAM2。 最後に受信 し た VB-ID の値が こ の レ ジ ス タ に格納 さ れます。
• [7:0] - VBID : 詳細は、 DisplayPort 規格の Table 2-3 (p.44) を参照 し て く だ さ い。
0x580
RO
MSA_HRES_STREAM3。 MSA で検出 し た水平解像度。
• [15:0] - ビデオ ラ イ ン あ た り の ピ ク セル数を表 し ます。
0x584
RO
MSA_HSPOL_STREAM3。 水平同期極性。
• [0] - ト ラ ン ス ミ ッ タ ーに よ っ て要求 さ れた水平同期の極性を示 し ます。
0x588
RO
MSA_HSWIDTH_STREAM3。 水平同期パルス の幅を設定 し ます。
• [14:0] - 水平同期の幅を リ カバ リ し た ビデオ ク ロ ッ ク 数で指定 し ます。
0x59C
RO
MSA_HSTART_STREAM3。 水平同期の立ち上が り エ ッ ジか ら 有効デー タ の最初のサ イ
ク ルま での ク ロ ッ ク サ イ ク ル数。
• [15:0] - 有効デー タ 開始ま でのブ ラ ン キ ン グ サ イ ク ル数
0x590
RO
MSA_HTOTAL_STREAM3。 水平同期パル ス の立ち上が り エ ッ ジ か ら 次の立ち上が り
エ ッ ジ ま での ビデオ ク ロ ッ ク サ イ ク ル数を レ シーバー コ アに知 ら せます。
• [15:0] - デー タ ラ イ ン あ た り の総ビデオ ク ロ ッ ク 数
0x594
RO
MSA_VHEIGHT_STREAM3。 ビデオ フ レーム あ た り の有効ビデオ ラ イ ン総数。
• [15:0] - 受信 し た ビデオの垂直解像度
0x598
RO
MSA_VSPOL_STREAM3。 ト ラ ン ス ミ ッ タ ーに よ っ て要求 さ れた垂直同期の極性を指
定 し ます。
• [0] - 1 の場合はア ク テ ィ ブ High の垂直同期、 0 の場合はア ク テ ィ ブ Low の垂直同期
であ る こ と を示 し ます。
0x59C
RO
MSA_VSWIDTH_STREAM3。 ト ラ ン ス ミ ッ タ ーは、 こ の値を使用 し て垂直同期パルス
の幅を ラ イ ン単位で指定 し ます。
• [14:0] - 垂直同期パルス の立ち上が り エ ッ ジか ら 立ち下が り エ ッ ジ ま での ラ イ ン数を
指定 し ます。
0x5A0
RO
MSA_VSTART_STREAM3。 垂直同期パルス の立ち上が り エ ッ ジか ら 有効デー タ の最初
の ラ イ ン ま での ラ イ ン数。
• [15:0] - 有効デー タ が開始す る ま でのブ ラ ン キ ン グ ラ イ ン数
0x5A4
RO
MSA_VTOTAL_STREAM3。 垂直同期パル ス の立ち上が り エ ッ ジ か ら 次の立ち上が り
エ ッ ジ ま での ラ イ ン総数。
• [15:0] - ビデオ フ レーム あ た り の総 ラ イ ン数を格納 し ます。
オフセ ッ ト
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
56
第 2 章 : 製品仕様
表 2‐12 : DisplayPort シ ン ク コ アの コ ン フ ィ ギ ュ レーシ ョ ン空間 (続き)
R/W
定義
0x5A8
RO
MSA_MISC0_STREAM3。 MISC0 属性デー タ の値を格納 し ます。
• [7:5] - COLOR_DEPTH : カ ラ ー / コ ン ポーネ ン ト あ た り のビ ッ ト 数
• [4] - YCbCR_COLOR : ITU-R BT709-5 の場合は 1、 ITU-R BT601-5 の場合は 0 にセ ッ
ト し ます。
• [3] - DYNAMIC_RANGE : CEA レ ン ジの場合は 1、 VESA レ ン ジの場合は 0 にセ ッ ト
し ます。
• [2:1] - COMPONENT_FORMAT :
° 00 = RGB
° 01 = YCbCr 4:2:2
° 10 = YCbCr 4:4:4
° 11 = 予約
• [0] - CLOCK_MODE :
° 0= 同期 ク ロ ッ ク モー ド
° 1 = 非同期 ク ロ ッ ク モー ド
0x5AC
RO
MSA_MISC1_STREAM3。 MISC1 属性デー タ の値を格納 し ます。
• [7] - DisplayPort 規格のセ ク シ ョ ン 2.2.4 で定義 さ れた DisplayPort MISC1 レ ジ ス タ に
含まれ る 属性情報を実装 し ます。
• [6:3] - 予約 : こ れ ら のビ ッ ト は常に 0 にセ ッ ト さ れます。
• [2:1] - STEREO_VIDEO : ス テ レ オ ビデオ ソ ース を送信す る 場合のみ使用 し ます。 詳
細は、 DisplayPort 規格 v1.1a のセ ク シ ョ ン 2.24 を参照 し て く だ さ い。
• [0] - INTERLACED_EVEN : 1 の場合、 フ レーム あ た り の ラ イ ン数が偶数であ る こ と
を示 し ます。
0x5B0
RO
MSA_MVID_STREAM3。 こ の属性値は、 リ ン ク ク ロ ッ ク か ら ビデオ ク ロ ッ ク を リ カ
バ リ す る ために使用 し ます。 リ カバ リ 後の ク ロ ッ ク 周波数は、こ の値 と CLOCK_MODE
お よ び MSA_NVID レ ジ ス タ に よ っ て決ま り ます。
• [23:0] - MVID : ク ロ ッ ク リ カバ リ に使用する M 値
0x5B4
RO
MSA_NVID_STREAM3。 こ の属性値は、 リ ン ク ク ロ ッ ク か ら ビデオ ク ロ ッ ク を リ カバ
リ す る ために使用 し ます。 リ カバ リ 後の ク ロ ッ ク 周波数は、 こ の値 と CLOCK_MODE
お よ び MSA_MVID レ ジ ス タ に よ っ て決ま り ます。
• [23:0] - NVID : ク ロ ッ ク リ カバ リ に使用する N 値
0x5B8
RO
MSA_VBID_STREAM3。 最後に受信 し た VB-ID の値が こ の レ ジ ス タ に格納 さ れます。
• [7:0] - VBID : 詳細は、 DisplayPort 規格の Table 2-3 (p.44) を参照 し て く だ さ い。
0x5C0
RO
MSA_HRES_STREAM4。 MSA で検出 し た水平解像度。
• [15:0] - ビデオ ラ イ ン あ た り の ピ ク セル数を表 し ます。
0x5C4
RO
MSA_HSPOL_STREAM4。 水平同期極性。
• [0] - ト ラ ン ス ミ ッ タ ーに よ っ て要求 さ れた水平同期の極性を示 し ます。
0x5C8
RO
MSA_HSWIDTH_STREAM4。 水平同期パルス の幅を設定 し ます。
• [14:0] - 水平同期の幅を リ カバ リ し た ビデオ ク ロ ッ ク 数で指定 し ます。
0x5CC
RO
MSA_HSTART_STREAM4。 水平同期の立ち上が り エ ッ ジか ら 有効デー タ の最初のサ イ
ク ルま での ク ロ ッ ク サ イ ク ル数。
• [15:0] - 有効デー タ 開始ま でのブ ラ ン キ ン グ サ イ ク ル数
0x5D0
RO
MSA_HTOTAL_STREAM4。 水平同期パル ス の立ち上が り エ ッ ジ か ら 次の立ち上が り
エ ッ ジ ま での ビデオ ク ロ ッ ク サ イ ク ル数を レ シーバー コ アに知 ら せます。
• [15:0] - デー タ ラ イ ン あ た り の総ビデオ ク ロ ッ ク 数
0x5D4
RO
MSA_VHEIGHT_STREAM4。 ビデオ フ レーム あ た り の有効ビデオ ラ イ ン総数。
• [15:0] - 受信 し た ビデオの垂直解像度
オフセ ッ ト
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
57
第 2 章 : 製品仕様
表 2‐12 : DisplayPort シ ン ク コ アの コ ン フ ィ ギ ュ レーシ ョ ン空間 (続き)
R/W
定義
0x5D8
RO
MSA_VSPOL_STREAM4。 ト ラ ン ス ミ ッ タ ーに よ っ て要求 さ れた垂直同期の極性を指
定 し ます。
• [0] - 1 の場合はア ク テ ィ ブ High の垂直同期、 0 の場合はア ク テ ィ ブ Low の垂直同期
であ る こ と を示 し ます。
0x5DC
RO
MSA_VSWIDTH_STREAM4。 ト ラ ン ス ミ ッ タ ーは、 こ の値を使用 し て垂直同期パルス
の幅を ラ イ ン単位で指定 し ます。
• [14:0] - 垂直同期パルス の立ち上が り エ ッ ジか ら 立ち下が り エ ッ ジ ま での ラ イ ン数を
指定 し ます。
0x5E0
RO
MSA_VSTART_STREAM4。 垂直同期パルス の立ち上が り エ ッ ジか ら 有効デー タ の最初
の ラ イ ン ま での ラ イ ン数。
• [15:0] - 有効デー タ が開始す る ま でのブ ラ ン キ ン グ ラ イ ン数
0x5E4
RO
MSA_VTOTAL_STREAM4。 垂直同期パル ス の立ち上が り エ ッ ジ か ら 次の立ち上が り
エ ッ ジ ま での ラ イ ン総数。
• [15:0] - ビデオ フ レーム あ た り の総 ラ イ ン数を格納 し ます。
0x5E8
RO
MSA_MISC0_STREAM4。 MISC0 属性デー タ の値を格納 し ます。
• [7:5] - COLOR_DEPTH : カ ラ ー / コ ン ポーネ ン ト あ た り のビ ッ ト 数
• [4] - YCbCR_COLOR : ITU-R BT709-5 の場合は 1、 ITU-R BT601-5 の場合は 0 にセ ッ
ト し ます。
• [3] - DYNAMIC_RANGE : CEA レ ン ジの場合は 1、 VESA レ ン ジの場合は 0 にセ ッ ト
し ます。
• [2:1] - COMPONENT_FORMAT :
° 00 = RGB
° 01 = YCbCr 4:2:2
° 10 = YCbCr 4:4:4
° 11 = 予約
• [0] - CLOCK_MODE :
° 0= 同期 ク ロ ッ ク モー ド
° 1 = 非同期 ク ロ ッ ク モー ド
0x5EC
RO
MSA_MISC1_STREAM4。 MISC1 属性デー タ の値を格納 し ます。
• [7] - DisplayPort 規格のセ ク シ ョ ン 2.2.4 で定義 さ れた DisplayPort MISC1 レ ジ ス タ に
含まれ る 属性情報を実装 し ます。
• [6:3] - 予約 : こ れ ら のビ ッ ト は常に 0 にセ ッ ト さ れます。
• [2:1] - STEREO_VIDEO : ス テ レ オ ビデオ ソ ース を送信す る 場合のみ使用 し ます。 詳
細は、 DisplayPort 規格 v1.1a のセ ク シ ョ ン 2.24 を参照 し て く だ さ い。
• [0] - INTERLACED_EVEN : 1 の場合、 フ レーム あ た り の ラ イ ン数が偶数であ る こ と
を示 し ます。
0x5F0
RO
MSA_MVID_STREAM4。 こ の属性値は、 リ ン ク ク ロ ッ ク か ら ビデオ ク ロ ッ ク を リ カ
バ リ す る ために使用 し ます。 リ カバ リ 後の ク ロ ッ ク 周波数は、こ の値 と CLOCK_MODE
お よ び MSA_NVID レ ジ ス タ に よ っ て決ま り ます。
• [23:0] - MVID : ク ロ ッ ク リ カバ リ に使用する M 値
0x5F4
RO
MSA_NVID_STREAM4。 こ の属性値は、 リ ン ク ク ロ ッ ク か ら ビデオ ク ロ ッ ク を リ カバ
リ す る ために使用 し ます。 リ カバ リ 後の ク ロ ッ ク 周波数は、 こ の値 と CLOCK_MODE
お よ び MSA_MVID レ ジ ス タ に よ っ て決ま り ます。
• [23:0] - NVID : ク ロ ッ ク リ カバ リ に使用する N 値
0x5F8
RO
MSA_VBID_STREAM4。 最後に受信 し た VB-ID の値が こ の レ ジ ス タ に格納 さ れます。
• [7:0] - VBID : 詳細は、 DisplayPort 規格の Table 2-3 (p.44) を参照 し て く だ さ い。
オフセ ッ ト
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
58
第 2 章 : 製品仕様
表 2‐12 : DisplayPort シ ン ク コ アの コ ン フ ィ ギ ュ レーシ ョ ン空間 (続き)
オフセ ッ ト
R/W
定義
0xA00 ~ 0xAFF
RO
DOWN_REQUEST_BUFFER。 ダ ウ ン要求バ ッ フ ァ ーのア ド レ ス空間。 ユーザーはア ド
レ ス 0xA00 ~ 0xA30 か ら サ イ ド バン ド メ ッ セージ要求を読み出す必要があ り ます。 こ
れ以外のア ド レ ス空間は予約済みです。
0xB00 ~ 0xBFF
WO
DOWN_REPLY_BUFFER。 ダ ウ ン応答バ ッ フ ァ ーのア ド レ ス 空間。 ユーザーは新 し い
応答のたびに 0xB00 以降のア ド レ ス にサ イ ド バン ド メ ッ セージ応答を書 き 込む必要が
あ り ます。 応答バ ッ フ ァ ーには最大 32 バ イ ト を格納で き ます。 こ れ以外のア ド レ ス空
間は予約済みです。
0xC00 ~ 0xCFF
RO
UPSTREAM_REQUEST_BUFFER。 将来のために予約。
0xD00 ~ 0xDFF
WO
UPSTREAM_REPLY_BUFFER。 将来のために予約。
0x800 ~ 0x8FF
RO
PAYLOAD_TABLE。 こ のア ド レ ス空間は、 コ ア内に保持 さ れ る VC ペ イ ロ ー ド テーブ
ルにマ ッ ピ ン グ し ます。
0xE00 ~ 0xEFC
RW
SOURCE_DEVICE_SPECIFIC_FIELD。 DPCD ア ド レ ス空間の ソ ース固有フ ィ ール ド へ
のユーザー ア ク セ ス。 AXI ア ク セ ス はすべて ワ ー ド ベース (32 ビ ッ ト ) で行われます。
• 0xE00 ~ 0xE02 : RO ( ソ ース に よ っ て書 き 込まれた IEEE OUI の値)
• 0xE03 ~ 0xEFF : RW
0xF00 ~ 0xFFC
RW
SINK_DEVICE_SPECIFIC_FIELD。 DPCD ア ド レ ス 空間の シ ン ク 固有 フ ィ ール ド への
ユーザー ア ク セ ス。 AXI ア ク セ ス はすべて ワ ー ド ベース (32 ビ ッ ト ) で行われます。
• 0xF00 ~ 0xF02 : RO (GUI か ら の IEEE OUI の値)
• 0xF03 ~ 0xFFF : RW
ベン ダー固有 DPCD
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
59
第 3章
コ ア を使用するデザイ ン
こ の章では、 コ ア を使用 し て よ り 簡単に設計す る ためのガ イ ド ラ イ ンお よ び追加情報を紹介 し ます。
ソ ースの概要
ソ ース コ アは、 標準化 さ れた メ イ ン リ ン ク か ら のビデオ ス ト リ ーム を、 完全な DisplayPort リ ン ク 層を経由 し て高速
シ リ アル I/O へ転送 し 、 シ ン ク デバ イ スへ送信 し ます。
メ イ ン リ ン ク のセ ッ ト ア ッ プ と 管理
こ のセ ク シ ョ ンは、 『VESA DisplayPort Standard v1.2』 [参照 2] のセ ク シ ョ ン 3.5.1.3 で説明 さ れてい る リ ン ク ト レーニ
ン グ手順について補足説明 し ます。
ユーザーの便宜のために、 こ の DisplayPort ソ ース コ アには コ ン ト ロ ー ラ ーのサンプル デザ イ ンが付属 し てい ます。
こ のシ ンプルな RTL ベース の ス テー ト マシ ン を使用す る と 、 ス タ ー ト ア ッ プ手順を簡単に評価で き ます。 完全なポ
リ シー メ ーカーのサンプル デザ イ ンはシ ミ ュ レーシ ョ ンに時間がかか る ため、 こ の RTL ベース の ス テー ト マシ ンが
用意 さ れてい ます。 こ の RTL ベース の ス テー ト マシ ンはシ ミ ュ レーシ ョ ン用、 お よ びザ イ リ ン ク ス のシ ン ク コ ア と
の間の リ ン ク 確立を容易にす る 目的でのみ使用 し て く だ さ い。 ほかの標準製品 と の イ ン タ ーオペ ラ ビ リ テ ィ は考慮 さ
れてい ません。
よ り 多 く の機能 と 調整が必要な場合のために、 『DisplayPort 送信シ ス テ ム の リ フ ァ レ ン ス デザ イ ン』 (XAPP1178) [参
照 9] では リ ン ク ポ リ シー メ ーカーの リ フ ァ レ ン ス デザ イ ン を完全な C ソ ース コ ー ド で提供 し てい ます。 こ のポ リ
シー メ ーカーは リ ン ク を セ ッ ト ア ッ プお よ び維持 し 、 ユーザーの介在レベルを さ ま ざ ま に変更で き ます。 こ の付属 ソ
フ ト ウ ェ ア を使用す る 場合、 こ のセ ク シ ョ ン を参考にで き ます。
提供 さ れてい る ポ リ シー メ ーカーを使用す る か ど う かにかかわ ら ず、 ソ ース コ ア を使用す る 際は リ ン ク の初期化 と
維持を適切に実行す る ために MicroBlaze™ プ ロ セ ッ サ ま たは同等のエンベデ ッ ド プ ロ セ ッ サ を使用す る こ と を推奨
し ます。 リ ン ク お よ びス ト リ ーム ポ リ シー メ ーカーが実行す る タ ス ク は非常に複雑なため、 ハー ド ウ ェ ア ベース の
ス テー ト マシ ンでは効率 よ く 管理で き ません。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
60
第 3 章 : コ ア を使用するデザイ ン
X-Ref Target - Figure 3-1
Interlane Skew Insertion
Delimiter/
Stuffer
User I/F
Packer
Main
Stream
Handler
Data FIFO
.
.
.
Bus
Steering
Lane 0
.
.
.
Scrambler
SR
Insertion
Transceiver I/F
SR
Insertion
Scrambler
Lane N
Isochronous Transport Services
Delimiter/
Stuffer
Packer
Mux
Control
DS735_01_061812
図 3‐1 : ソ ースの メ イ ン リ ン ク デー タ パス
リ ン ク ト レーニ ング
リ ン ク ト レーニ ン グ コ マ ン ド は DPCD レ ジ ス タ ブ ロ ッ ク か ら リ ン ク ト レーニ ン グ フ ァ ン ク シ ョ ンへ渡 さ れます。リ
ン ク ト レーニ ン グ モー ド に入 る と 機能デー タ パ ス はブ ロ ッ ク さ れ、リ ン ク ト レーニ ン グ コ ン ト ロ ー ラ ーが指定 さ れ
たパ タ ーン を発行 し ます。 ソ ース ス テー ト マシ ンが ト レーニ ン グ ス テー ト に入る 前に必ずシ ン ク デバ イ ス を適切な
リ ン ク ト レーニ ン グ モー ド に し ておいて く だ さ い。 こ の条件を満た さ ない場合、 予測不能な結果 と な る こ と があ り
ます。
図 3-2 に、 リ ン ク ト レーニ ン グの フ ロ ーを示 し ます。
X-Ref Target - Figure 3-2
Main Link
Disabled
Clock Recovery
Pattern
Training Pattern = 1
Normal Operation
Training Pattern = 1
Training Failed
Channel EQ
Pattern
Training Pattern 2/3
Done
Training Failed
UG696_6-1_101509
図 3‐2 : リ ン ク ト レーニ ングのス テー ト
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
61
第 3 章 : コ ア を使用するデザイ ン
ソ ース コ アのセ ッ ト ア ッ プ と 初期化
こ こ では、 リ ン ク 通信を確立す る ために必要な手順について説明 し ます。 『VESA DisplayPort Standard v1.2』 の DPCD
の説明を参照 し て く だ さ い。
ソ ース コ アのセ ッ ト ア ッ プ
1.
PHY を リ セ ッ ト 状態に し ます。
°
2.
ト ラ ン ス ミ ッ タ ーを無効に し ます。
°
3.
(PHY_STATUS & 0x3F) == 0x3F
ト ラ ン ス ミ ッ タ ーを有効に し ます。
°
8.
PHY_RESET = 0x00
PHY の準備が完了す る ま で待ち ます。
°
7.
PHY_CLOCK_SELECT = 目的の リ ン ク 速度
PHY を リ セ ッ ト か ら リ リ ース し ます。
°
6.
AUX_CLOCK_DIVIDER = (値は レ ジ ス タ の説明を参照)
DisplayPort ク ロ ッ ク ス ピー ド を設定 し ます。
°
5.
TRANSMITTER_ENABLE = 0x00
ク ロ ッ ク 分周器を設定 し ます。
°
4.
PHY_RESET = 0x01
TRANSMITTER_ENABLE = 0x01
(オプシ ョ ン) HPD の割 り 込みマ ス ク を有効に し ます。
°
INTERRUPT_MASK = 0x00
注記 : こ れで、 ソ ース コ アが初期化 さ れ利用可能にな り ます。 リ ン ク ポ リ シー メ ーカーで HPD の ス テー タ ス を監視
し 、 接続/切断 イ ベン ト ま たは HPD 割 り 込みパルス に対 し て適切な ア ク シ ョ ン を実行する 必要があ り ます。
HPD がアサー ト さ れた場合の処理
1.
AUX チ ャ ネル経由でシ ン ク デバ イ ス (0x00000 ~ 0x0000B) か ら DPCD 機能フ ィ ール ド を読み出 し ます。
2.
各 リ ン ク パー ト ナーの機能お よ びニーズに基づいて レーン数、 リ ン ク 速度、 拡張フ レー ミ ン グ モー ド 、 ダ ウ ン
ス プ レ ッ ド 制御、 メ イ ン リ ン ク チ ャ ネル コ ー ド を決定 し ます。
3.
AUX チ ャ ネル経由で DPCD の リ ン ク コ ン フ ィ ギ ュ レーシ ョ ン フ ィ ール ド (0x00100 ~ 0x00101) に コ ン フ ィ ギ ュ
レーシ ョ ン パ ラ メ ー タ ーを書き 込みます。
注記 : シ ン ク デバ イ ス に よ っ ては、 DPCD の機能フ ィ ール ド を信用で き ない場合があ り ます。 多 く の ソ ース デバ イ ス
は、 最初に ト ラ ン ス ミ ッ タ ーの最大能力で試行 し た後、 徐々に機能を下げてシ ン ク デバ イ ス が対応で き る 設定を見つ
け ます。 DPCD の値を信用す る のではな く 、 こ の方法を推奨 し ます。
4.
同様に、 ソ ース コ アの ロ ーカル コ ン フ ィ ギ ュ レーシ ョ ン空間に も 適切な値を書 き 込みます。
a.
LANE_COUNT_SET
b.
LINK_BW_SET
c.
ENHANCED_FRAME_EN
d.
PHY_CLOCK_SELECT
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
62
第 3 章 : コ ア を使用するデザイ ン
ト レーニ ング パ タ ーン 1 の手順 (ク ロ ッ ク リ カバ リ )
1.
ソ ース の レ ジ ス タ に直接書 き 込んで ス ク ラ ンブ リ ン グ を オ フ に し 、 ト レーニ ン グ パ タ ーン 1 に設定 し ます。
°
SCRAMBLING_DISABLE = 0x01
°
TRAINING_PATTERN_SET = 0x01
2.
AUX チ ャ ネル経由でシ ン ク の DPCD (0x00102 ~ 0x00106) に書 き 込んで ス ク ラ ンブ リ ン グ を オ フ に し 、 ト レーニ
ン グ パ タ ーン を 1 に設定 し ます。
3.
DPCD レ ジ ス タ (0x0000E) の TRAINING_AUX_RD_INTERVAL ビ ッ ト で設定 し た AUX 読み出 し イ ン タ ーバルの
間待機 し てか ら 、 すべてのア ク テ ィ ブな レーンの ス テー タ ス レ ジ ス タ (0x00202 ~ 0x00203) を AUX チ ャ ネル経
由で読み出 し ます。
4.
ク ロ ッ ク リ カバ リ に失敗 し た場合、電圧幅ま たはプ リ エン フ ァ シ ス レベルの増加要求 (0x00206 ~ 0x00207) を確
認 し 、 それに応 じ て調整 し ます。
°
こ のループ を最大 5 回ま で実行 し ます。 5 回の反復で ト レーニ ン グに成功 し ない場合、 リ ン ク 速度が高けれ
ば速度を下げて再試行 し ます。 リ ン ク 速度がすでに低い場合は ト レーニ ン グ失敗です。
ト レーニ ング パ タ ーン 2 の手順 (シ ンボル リ カバ リ 、 レーン間ア ラ イ メ ン ト )
1.
ソ ース の レ ジ ス タ に直接書 き 込んで ス ク ラ ンブ リ ン グ を オ フ に し 、 ト レーニ ン グ パ タ ーン 2 に設定 し ます。
°
SCRAMBLING_DISABLE = 0x01
°
TRAINING_PATTERN_SET = 0x02
2.
AUX チ ャ ネル経由でシ ン ク の DPCD (0x00102 ~ 0x00106) に書 き 込んで ス ク ラ ンブ リ ン グ を オ フ に し 、 ト レーニ
ン グ パ タ ーン を 2 に設定 し ます。
3.
DPCD レ ジ ス タ (0x0000E) の TRAINING_AUX_RD_INTERVAL ビ ッ ト で設定 し た AUX 読み出 し イ ン タ ーバルの
間待機 し てか ら 、 すべてのア ク テ ィ ブな レーンの ス テー タ ス レ ジ ス タ (0x00202 ~ 0x00203) を AUX チ ャ ネル経
由で読み出 し ます。
4.
AUX チ ャ ネル経由ですべてのア ク テ ィ ブな レーンのチ ャ ネル等化、 シ ン ボル ロ ッ ク 、 レーン間ア ラ イ メ ン ト の
ス テー タ ス ビ ッ ト (0x00204) を確認 し ます。
5.
こ れ ら ビ ッ ト のいずれかがセ ッ ト さ れていない場合、電圧幅ま たはプ リ エン フ ァ シ ス レベルの増加要求 (0x00206
~ 0x00207) を確認 し 、 それに応 じ て調整 し ます。
6.
こ のループを最大 5 回ま で実行 し ます。 5 回の反復で ト レーニ ン グに成功 し ない場合、 リ ン ク 速度が高ければ速度
を下げて ト レーニ ン グ パ ターン 1 の手順に戻 り ます。 リ ン ク 速度がすでに低い場合は ト レーニ ン グ失敗です。
7.
AUX チ ャ ネル経由でシ ン ク デバ イ ス の ス ク ラ ンブ リ ン グ をオンに し 、 ト レーニ ン グ パ タ ーン (0x00102) を 0x00
に設定 し て ト レーニ ン グ終了を通知 し ます。
8.
ソ ース側で ス ク ラ ンブ リ ン グ を再度有効に し て、 ト レーニ ン グ を オ フ に し ます。
°
TRAINING_PATTERN_SET = 0x00
°
SCRAMBLING_DISABLE = 0x00
こ れで、 ト レーニ ン グ完了です。
注記 : リ ン ク レー ト 5.4G のデバ イ ス では、 ト レーニ ン グ パ タ ーン 2 の代わ り に ト レーニ ン グ パ タ ーン 3 を実行 し ま
す。 詳細は、 『DisplayPort Starndard v1.2』 を参照 し て く だ さ い。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
63
第 3 章 : コ ア を使用するデザイ ン
メ イ ン リ ン ク ビデオの有効化
メ イ ン リ ン ク ビデオは、適切な ビデオ ソ ース が ソ ース コ アに供給 さ れた後で有効にす る 必要があ り ます。通常、ソ ー
ス デバ イ ス は接続 さ れた シ ン ク デバ イ ス か ら EDID を読み出 し て シ ン ク の能力を判断 し ます。 特に重要なのは推奨
解像度の情報 と 、 その解像度が利用で き ない場合にサポー ト さ れ る 解像度の情報です。 解像度を決定後、 ソ ース コ ア
で MSA (Main Stream Attribute) を設定 し ます (0x180 ~ 0x1B0)。 信頼で き る ビデオ ソ ース が利用可能にな っ た時点で
メ イ ン ス ト リ ーム を有効に し て く だ さ い (0x084)。
重要 : メ イ ン リ ン ク ビデオを有効に し た場合、 DisplayPort 規格のセ ク シ ョ ン 2.2.1.1 の説明に従っ て ス ク ラ ンブ ラ ー /
デス ク ラ ンブ ラ ーを 512 BS シ ン ボルご と に リ セ ッ ト す る 必要があ り ます。 シ ミ ュ レーシ ョ ン では、 ス タ ー ト ア ッ プ
後に ス ク ラ ンブ ラ ー /デス ク ラ ンブ ラ ーのア ラ イ メ ン ト に必要な時間を短縮する ため、 メ イ ン リ ン ク を有効にす る 前
に 0x0c0 に 1 を書 き 込んで ス ク ラ ンブ ラ ーを強制 リ セ ッ ト し て く だ さ い。
リ ン ク パー ト ナーへのア ク セス
DisplayPort コ ア は AXI4-Lite ホ ス ト イ ン タ ー フ ェ イ ス か ら 設定 し ま す。 ホ ス ト プ ロ セ ッ サ イ ン タ ー フ ェ イ ス は
DisplayPort AUX チ ャ ネルを使用 し て接続先シ ン ク デバ イ ス の レ ジ ス タ 空間を読み出 し 、 リ ン ク の能力を判定 し ます。
シ ン ク の DPCD お よ び EDID 情報にア ク セ スす る には、 レ ジ ス タ 空間の 0x100 ~ 0x144 に対 し て読み出 し と 書 き 込み
を実行 し ます (DPCD レ ジ ス タ 空間の詳細は 『VESA DisplayPort Standard v1.2』 を参照)。
AUX チ ャ ネルの動作が完了す る 前に、 0x10C に適切な ク ロ ッ ク 分周値を設定 し てお く 必要があ り ます。 こ れは、 リ
セ ッ ト 後に 1 回のみ行 う 必要があ り ます。 こ の レ ジ ス タ には、 s_axi_aclk の周波数 と 同 じ 値を格納 し ます。 た と え
ば s_axi_aclk = 135MHz の場合、 こ の レ ジ ス タ の値を 135 ('h87) と し ます。 こ の レ ジ ス タ は、 適切な分周機能を適
用 し て 1MHz の AUX チ ャ ネル サンプル ク ロ ッ ク を生成す る ために必要です。
AUX_COMMAND に書 き 込みを実行す る と 、 AUX イ ベン ト が開始 し ま す。 AUX 要求 ト ラ ン ザ ク シ ョ ン が開始す る
と 、 シ ン ク が応答を返 し て REPLY_RECEIVED ビ ッ ト が 1 にセ ッ ト さ れ る ま でホ ス ト は制御レ ジ ス タ に書 き 込みを実
行で き ません。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
64
第 3 章 : コ ア を使用するデザイ ン
AUX 書き込み ト ラ ンザ ク シ ョ ン
AUX 書 き 込み ト ラ ンザ ク シ ョ ンは、 AUX_ADDRESS への書 き 込みに よ っ て開始 し ます。 次に AUX_WRITE_FIFO に
デー タ を書き 込み、 AUX_COMMAND レ ジ ス タ に コ ー ド 0x08 を書 き 込みます。 コ マ ン ド レ ジ ス タ に書 き 込みを実行
する と 、 AUX チ ャ ネルの ト ラ ンザ ク シ ョ ンが開始し ます。 ホ ス ト は、 応答受信イ ベン ト ま たは応答タ イ ム ア ウ ト イ ベ
ン ト を検出する ま で待ち ます。 こ れ ら の イ ベン ト は、ISR ま たはポー リ ン グ モー ド のいずれかで INTERRUPT_STATUS
レ ジ ス タ を読み出し て検出し ます。
応答を検出す る と 、 ホ ス ト は AUX_REPLY_CODE レ ジ ス タ を読み出 し 、 コ ー ド が 0x00 な ら AUX チ ャ ネルが ト ラ ン
ザ ク シ ョ ンに対 し て ACK を返 し た と 判断 し ます。
図 3-3 に、 AUX 書 き 込み ト ラ ンザ ク シ ョ ンの フ ロ ーを示 し ます。
X-Ref Target - Figure 3-3
write AUX_ADDRESS
write up to 16 bytes to
AUX_WRITE_FIFO
write AUX_COMMAND-0x08
NO
read INTERRUPT_STATUS
bit 2 = ‘1’? (REPLY_RECEIVED)
bit 3 = ‘1’? (REPLY_TIMEOUT)
REPLY_TIMEOUT
YES
read AUX_REPLY_CODE
AUX_NACK/
AUX_DEFER
ACK
transaction complete
UG696_6-2_101509
図 3‐3 : AUX 書き込み ト ラ ンザク シ ョ ン
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
65
第 3 章 : コ ア を使用するデザイ ン
AUX 読み出 し ト ラ ンザ ク シ ョ ン
AUX 読み出 し ト ラ ン ザ ク シ ョ ン は、 AUX_ADDRESS レ ジ ス タ に ト ラ ン ザ ク シ ョ ン ア ド レ ス を書 き 込んで開始 し ま
す。 次に、 コ マ ン ド と 読み出 し バ イ ト 数を AUX_COMMAND レ ジ ス タ に書 き 込みます。 転送が開始 し た ら 、 ホ ス ト
は割 り 込みを待つか INTERRUPT_STATUS レ ジ ス タ を ポー リ ン グ し て応答の受信を確認す る 必要があ り ます。
REPLY_RECEIVED 信号を検出す る と 、 ホ ス ト は要求 し たデー タ バ イ ト を AUX_REPLY_DATA レ ジ ス タ か ら 読み出
す こ と がで き ます。 こ の レ ジ ス タ は、 深 さ 16 段のバ イ ト FIFO への単一ア ド レ ス イ ン タ ーフ ェ イ ス と し て機能 し ま
す。 こ の レ ジ ス タ を読み出す と 内部読み出 し ポ イ ン タ ーが自動的に次のア ク セ ス先へ進みます。
図 3-4 に、 AUX 読み出 し ト ラ ンザ ク シ ョ ンの フ ロ ーを示 し ます。
X-Ref Target - Figure 3-4
write AUX_ADDRESS
write AUX_COMMAND-0x09
NO
read INTERRUPT_STATUS
bit 2
bit 2 = ‘1’? (REPLY_RECEIVED)
bit 3 = ‘1’? (REPLY_TIMEOUT)
REPLY_TIMEOUT
YES
read AUX_REPLY_CODE
AUX_NACK/
AUX_DEFER
ACK
read up to 16 bytes to
AUX_REPLY_DATA
transaction complete
UG696_6-3_101509
図 3‐4 : AUX 読み出 し ト ラ ンザク シ ョ ン
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
66
第 3 章 : コ ア を使用するデザイ ン
I2C ト ラ ンザ ク シ ョ ン コ マ ン ド
こ の コ アは特別な AUX チ ャ ネル コ マ ン ド を サポー ト し てお り 、 AUX チ ャ ネル上での I2C (I2C-over-AUX) ト ラ ンザ
ク シ ョ ン を よ り 高速かつ簡単に実行で き ます。 こ の場合、 ホ ス ト は外部 I2C マ ス タ ー /ス レーブ イ ン タ ーフ ェ イ ス を
バ イ パ ス し 、 レ ジ ス タ セ ッ ト に直接書 き 込みを実行 し て コ マ ン ド を開始 し ます。
こ れ ら ト ラ ンザ ク シ ョ ンの実行シーケ ン ス はネ イ テ ィ ブな AUX チ ャ ネル ト ラ ンザ ク シ ョ ン と 同 じ で、
AUX_COMMAND レ ジ ス タ に コ マ ン ド が書 き 込まれ る 点のみが異な り ます。 表 3-1 に、 サポー ト さ れ る I2C コ マ ン
ド を ま と め ます。
表 3‐1 : I2C‐over‐AUX コ マ ン ド
AUX_COMMAND[11:8]
コマン ド
0x0
IIC 書 き 込み
0x4
IIC 書 き 込み MOT
0x1
IIC 読み出 し
0x5
IIC 読み出 し MOT
0x6
IIC 書 き 込みス テー タ ス MOT
0x2
IIC 書 き 込みス テー タ ス
こ れ ら の コ マ ン ド を組み合わせて使用す る こ と に よ り 、 ホ ス ト は I2C ト ラ ンザ ク シ ョ ン をエ ミ ュ レー ト で き ます。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
67
第 3 章 : コ ア を使用するデザイ ン
図 3-5 に、 I2C ト ラ ンザ ク シ ョ ン コ マ ン ド のフ ロ ーを示 し ます。
X-Ref Target - Figure 3-5
aux write device address
IIC_WRITE_MOT
aux write device address
IIC_WRITE_MOT
aux write device subaddress
IIC_WRITE_MOT
aux write device subaddress
IIC_WRITE_MOT
aux write device data
IIC_WRITE_MOT
aux read device address
IIC_READ_MOT
NO
last byte of data
NO
aux read device data
IIC_READ_MOT
YES
last byte of data
transaction complete
aux read device data
IIC_READ
YES
aux write device data
IIC_WRITE
transaction complete
UG696_6-4_101509
図 3‐5 : I2C ト ラ ンザ ク シ ョ ン コ マ ン ド 、 書き込み (左) と 読み出 し (右)
I2C ト ラ ンザ ク シ ョ ンは AUX チ ャ ネル ト ラ ンザ ク シ ョ ンに比べ速度が非常に低いため、 ホ ス ト は上記ス テー ト マシ
ンの実行中に複数の AUX_DEFER 応答 コ ー ド を受信で き る よ う に準備 し てお く 必要があ り ます。
AUX-I2C コ マ ン ド は次の と お り です。
•
•
MOT の定義
°
コ マ ン ド フ ィ ール ド の MOT (Middle Of Transaction) ビ ッ ト 。
°
こ のビ ッ ト で I2C ス レーブの ス ト ッ プ条件を制御 し ます。
°
MOT ビ ッ ト が 1 にセ ッ ト さ れた ト ラ ンザ ク シ ョ ンの場合、 I2C バ ス に STOP 条件は発行 さ れず、 直前の状態
を維持 し ます。
°
MOT ビ ッ ト が 0 にセ ッ ト さ れた ト ラ ンザ ク シ ョ ンの場合、 I2C バス は現在の コ マ ン ド が終了する か、 特別な
アボー ト が発行 さ れた場合に強制的にア イ ド ル状態にな り ます。
部分 ACK
°
I2C 書 き 込み ト ラ ンザ ク シ ョ ンの場合、 シ ン ク コ アは部分 ACK (ACK 応答の後に I2C ス レーブに書 き 込ま
れたバ イ ト 数を送信) で応答で き ます。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
68
第 3 章 : コ ア を使用するデザイ ン
特別な AUX コ マ ン ド には次の も のがあ り ます。
•
ア ド レ ス のみ書 き 込み/ア ド レ ス のみ読み出 し : こ れ ら の コ マ ン ド は AUX チ ャ ネルに長 さ フ ィ ール ド を送信 し ま
せん。 こ れ ら の コ マ ン ド は、 次の目的で使用 し ます。
°
I2C ス レーブにア ド レ スお よ び RD/WR 情報を送信す る 。 デー タ は転送 し ない。
°
直前ま でア ク テ ィ ブな ト ラ ンザ ク シ ョ ン を通常の方法ま たはアボー ト に よ っ て終了する 。
ア ド レ ス のみ書 き 込み/読み出 し コ マ ン ド は、 コ マ ン ド が I2C 書き 込み/読み出 し で、 AUX_COMMAND レ ジ ス タ
のビ ッ ト [12] が 1 の場合に ソ ース に よ っ て生成 さ れます。
•
書 き 込みス テー タ ス : こ の コ マ ン ド には長 さ 情報はあ り ません。 こ の コ マ ン ド は、 AUX-I2C 書 き 込みで ソ ース が
部分 ACK ま たは DEFER 応答を受信 し た場合に、I2C ス レーブに書 き 込まれたデー タ バ イ ト 数を確認す る ために
使用 し ます。
書 き 込みス テー タ ス コ マ ン ド は、 コ マ ン ド が I2C 書 き 込みス テー タ ス で、 AUX_COMMAND レ ジ ス タ の ビ ッ ト
[12] が 1 の場合に ソ ース に よ っ て生成 さ れます。
•
IIC タ イ ム ア ウ ト : ト ラ ンザ ク シ ョ ンが開始する と シン ク コ ン ト ローラ ーは IIC バス を監視し、1 秒以内に IIC STOP
条件の発生を待ち ます。 IIC STOP 条件を受信し ない場合は IIC タ イ ム ア ウ ト と 見な さ れ、 シン ク コ ン ト ローラーが
STOP 条件を発行し てバス を リ リ ース し ます。 こ の タ イ ム ア ウ ト に よ り 、 ロ ッ ク ア ッ プを防ぎ ます。
表 3-2 に、 AUX ト ラ ンザ ク シ ョ ンの生成方法を示 し ます。
表 3‐2 : AUX ト ラ ンザク シ ョ ンの生成
ト ラ ンザ ク
ション
AUX ト ラ ンザク
ション
I2C ト ラ ンザ ク シ ョ ン
用途
シーケ ン ス
AUX_ADDRESS レ ジ ス タ (0x108) にデ
バ イ ス のア ド レ ス を書 き 込みます。
ア ド レ ス のみ START ->
CMD ->
書 き 込み、
MOT = 1
ADDRESS ->
STOP
START ->
DEVICE_ADDR ->
WR ->
ACK/NACK
定義 さ れた ア ド レ スへ 1.
書き 込みを実行する た
めに I2C ス レーブ を
2.
セ ッ ト ア ッ プする 。
ア ド レ ス のみ START ->
CMD ->
読み出 し 、
MOT = 1
ADDRESS ->
STOP
START ->
DEVICE_ADDR ->
RD ->
ACK/NACK
定義 さ れた ア ド レ スへ 1.
読み出 し を実行する た
めに I2C ス レーブ を
2.
セ ッ ト ア ッ プする 。
AUX_ADDRESS レ ジ ス タ にデバ イ ス
のア ド レ ス を書き 込みます。
ア ド レ ス のみ START ->
ADDRESS ->
書 き 込み/
読み出 し 、
STOP
MOT = 0
STOP
アボー ト ま たは通常の
方法で I2C ス レーブ を
停止する 。
1.
AUX_ADDRESS レ ジ ス タ (0x108) にデ
バ イ ス のア ド レ ス を書 き 込みます。
2.
AUX_COMMAND レ ジ ス タ (0x100) に
書 き 込み、 ト ラ ンザ ク シ ョ ン を送信す
る ための コ マ ン ド を発行 し ます。 ビ ッ
ト [12] は 1 にセ ッ ト し ます。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
AUX_COMMAND レ ジ ス タ (0x100) に
書 き 込み、 ト ラ ンザ ク シ ョ ン を送信す
る ための コ マ ン ド を発行 し ます。 ビ ッ
ト [12] は 1 にセ ッ ト し ます。
AUX_COMMAND レ ジ ス タ に書き込
み、 ト ラ ンザ ク シ ョ ン を送信す る ため
の コ マ ン ド を発行 し ま す。 ビ ッ ト [12]
は 1 にセ ッ ト し ます。
69
第 3 章 : コ ア を使用するデザイ ン
表 3‐2 : AUX ト ラ ンザク シ ョ ンの生成 (続き)
ト ラ ンザ ク
ション
書 き 込み、
MOT = 1
書 き 込み、
MOT = 0
AUX ト ラ ンザク
ション
I2C ト ラ ンザ ク シ ョ ン
用途
START ->
CMD ->
ADDRESS ->
LENGTH ->
D0 to DN ->
STOP
I2C バス がア イ ド ル、
ま たは新 し いデバ イ ス
ア ド レ ス の場合 :
START ->
START/RS ->
DEVICE_ADDR ->
WR ->
ACK/NACK ->
DATA0 ->
ACK/NACK to
DATAN ->
ACK/NACK
I2C バ ス が書 き 込み ス
テー ト で、 同 じ デバ イ
ス ア ド レ ス の場合 :
DATA0 ->
ACK/NACK to
DATAN ->
ACK/NACK
I2C ス レーブ をデー タ
書き 込み用にセ ッ ト
ア ッ プす る 。
START ->
CMD ->
ADDRESS ->
LENGTH ->
D0 to DN ->
STOP
I2C ス レーブ をデー タ
I2C バス がア イ ド ル、
ま たは異な る I2C デバ 書き 込み用にセ ッ ト
イ ス ア ド レ ス の場合 : ア ッ プ し 、 現在の ト ラ
START ->
ンザ ク シ ョ ンが終了 し
た ら I2C バ ス に STOP
START/RS ->
条件を発行する 。
DEVICE_ADDR ->
WR ->
ACK/NACK ->
DATA0 ->
ACK/NACK to
DATAN ->
ACK/NACK ->
STOP
I2C バ ス が書 き 込み ス
テー ト で、同じ I2C デバ
イ ス ア ド レ スの場合 :
DATA0 ->
ACK/NACK to
DATAN ->
ACK/NACK ->
STOP
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
シーケ ン ス
1.
AUX_ADDRESS レ ジ ス タ (0x108) にデ
バ イ ス のア ド レ ス を書 き 込みます。
2.
AUX_WRITE_FIFO レ ジ ス タ (0x104) に
送信データ を書き込みます。
3.
AUX_COMMAND レ ジ ス タ (0x100) に
書 き 込み、 送信すべ き 書 き 込み コ マ ン
ド と デー タ 長の ト ラ ンザ ク シ ョ ン を発
行 し ます。ビ ッ ト [3:0] は長 さ フ ィ ール
ド を表 し ます。
1.
AUX_ADDRESS レ ジ ス タ (0x108) にデ
バ イ ス のア ド レ ス を書 き 込みます。
2.
AUX_WRITE_FIFO レ ジ ス タ (0x104) に
送信データ を書き込みます。
3.
AUX_COMMAND レ ジ ス タ (0x100) に
書 き 込み、 送信すべ き 書 き 込み コ マ ン
ド と デー タ 長の ト ラ ンザ ク シ ョ ン を発
行 し ます。ビ ッ ト [3:0] は長 さ フ ィ ール
ド を表 し ます。
70
第 3 章 : コ ア を使用するデザイ ン
表 3‐2 : AUX ト ラ ンザク シ ョ ンの生成 (続き)
ト ラ ンザ ク
ション
読み出 し 、
MOT = 1
読み出 し 、
MOT = 0
AUX ト ラ ンザク
ション
I2C ト ラ ンザ ク シ ョ ン
用途
START ->
CMD ->
ADDRESS ->
LENGTH ->
STOP
I2C ス レーブ をデー タ
I2C バス がア イ ド ル、
ま たは異な る I2C デバ 読み出 し 用にセ ッ ト
イ ス ア ド レ ス の場合 : ア ッ プす る 。
START ->
START/RS ->
DEVICE_ADDR ->
RD ->
ACK/NACK ->
DATA0 ->
ACK/NACK to
DATAN ->
ACK/NACK
I2C バ ス が書 き 込み ス
テー ト で、同じ I2C デバ
イ ス ア ド レ スの場合 :
DATA0 ->
ACK/NACK to
DATAN ->
ACK/NACK
START ->
CMD ->
ADDRESS ->
LENGTH ->
D0 to DN ->
STOP
I2C ス レーブ をデー タ
I2C バス がア イ ド ル、
ま たは異な る I2C デバ 読み出 し 用にセ ッ ト
イ ス ア ド レ ス の場合 : ア ッ プ し 、 現在の ト ラ
START ->
ンザ ク シ ョ ンが終了 し
た ら I2C バ ス に STOP
START/RS ->
条件を発行する 。
DEVICE_ADDR ->
RD ->
ACK/NACK ->
DATA0 ->
ACK/NACK to
DATAN ->
ACK/NACK ->
STOP
I2C バ ス が書 き 込み ス
テー ト で、同じ I2C デバ
イ ス ア ド レ スの場合 :
DATA0 ->
ACK/NACK to
DATAN ->
ACK/NACK ->
STOP
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
シーケ ン ス
1.
AUX_ADDRESS レ ジ ス タ (0x108) にデ
バ イ ス のア ド レ ス を書 き 込みます。
2.
AUX_COMMAND レ ジ ス タ (0x100) に
書 き 込み、 送信すべ き 読み出 し コ マ ン
ド と デー タ 長の ト ラ ンザ ク シ ョ ン を発
行 し ます。ビ ッ ト [3:0] は長 さ フ ィ ール
ド を表 し ます。
1.
AUX_ADDRESS レ ジ ス タ (0x108) にデ
バ イ ス のア ド レ ス を書 き 込みます。
2.
AUX_COMMAND レ ジ ス タ (0x100) に
書 き 込み、 送信すべ き 読み出 し コ マ ン
ド と デー タ 長の ト ラ ンザ ク シ ョ ン を発
行 し ます。ビ ッ ト [3:0] は長 さ フ ィ ール
ド を表 し ます。
71
第 3 章 : コ ア を使用するデザイ ン
表 3‐2 : AUX ト ラ ンザク シ ョ ンの生成 (続き)
ト ラ ンザ ク
ション
AUX ト ラ ンザク
ション
書 き 込み
ス テー タ ス、
MOT = 1
START ->
CMD ->
ADDRESS ->
STOP
ト ラ ンザ ク シ ョ ン な し
書 き 込み
ス テー タ ス、
MOT = 0
START ->
CMD ->
ADDRESS ->
STOP
強制的に STOP 条件を
発行 し 、 バース ト 書き
込みを終了
I2C ト ラ ンザ ク シ ョ ン
用途
シーケ ン ス
DEFER ま たは部分
1.
ACK 応答が返 さ れた、
直前の書き 込みコ マ ン
2.
ド の ス テー タ ス。
AUX_ADDRESS レ ジ ス タ (0x108) にデ
バ イ ス のア ド レ ス を書 き 込みます。
1.
DEFER ま たは部分
ACK 応答が返 さ れた、
直前の書き 込みコ マ ン
2.
ド の ス テー タ ス。
MOT = 0 に よ り 、 バー
ス ト 終了時にバ ス はア
イ ド ルに戻 り ます。
AUX_ADDRESS レ ジ ス タ (0x108) にデ
バ イ ス のア ド レ ス を書 き 込みます。
AUX_COMMAND レ ジ ス タ (0x100) に
書 き 込み、 ト ラ ンザ ク シ ョ ン を送信す
る ための ス テー タ ス更新 コ マ ン ド を発
行 し ます。 ビ ッ ト [12] は 1 にセ ッ ト し
ます。
AUX_COMMAND レ ジ ス タ (0x100) に
書 き 込み、 ト ラ ンザ ク シ ョ ン を送信す
る ための ス テー タ ス更新 コ マ ン ド を発
行 し ます。 ビ ッ ト [12] は 1 にセ ッ ト し
ます。
I2C 読み出 し が DEFER/ タ イムアウ ト の場合の処理 :
•
I2C へのバース ト 読み出 し に対 し て、 シ ン ク コ アか ら DEFER 応答が返 さ れ る こ と があ り ます。 こ の場合、 ソ ー
ス コ アは次のいずれかの方法で対処 し ます。
°
°
同 じ コ マ ン ド (直前に発行 し たの と 同 じ デバ イ ス ア ド レ ス と 長 さ の読み出 し コ マ ン ド ) を発行 し て応答を待
つ。I2C か ら の読み出 し が完了す る と 、シ ン ク コ アは複数回の DEFER 応答の後、読み出 し デー タ を返 し ます。
次のいずれかの方法で現在の読み出 し を アボー ト す る 。
-
別の I2C ス レーブに対す る 読み出 し
-
書 き 込み コ マ ン ド
-
ア ド レ ス のみ読み出 し /書 き 込み、 MOT = 0
I2C 書き込みが部分 ACK 応答の場合の処理 :
•
I2C へのバース ト 書 き 込みに対 し て、 シ ン ク コ アか ら 部分 ACK 応答が返 さ れ る こ と があ り ます。 こ の場合、 ソ ー
ス コ アは次のいずれかの方法で対処 し ます。
°
書 き 込みス テー タ ス コ マ ン ド を使用 し て I2C に対 し て進行中の転送を ポー リ ン グす る 。書 き 込みがすべて完
了す る と シ ン ク は最後に NACK 応答を返 し ます。 それ以前の書き 込みに対 し ては部分 ACK が返 さ れます。
°
同 じ コ マ ン ド (直前に発行 し たの と 同 じ デバ イ ス ア ド レ ス、 長 さ 、 デー タ の コ マ ン ド ) を発行 し て応答を待
つ。 I2C への書 き 込みが完了す る と 、 シ ン ク コ アは複数回の部分 ACK 応答の後、 ACK 応答を返 し ます。
°
次のいずれかの方法で現在の書 き 込みを アボー ト す る 。
-
別の I2C ス レーブに対す る 書 き 込み
-
読み出 し コ マ ン ド
-
ア ド レ ス のみ読み出 し /書 き 込み、 MOT = 0
I2C 書き込みが DEFER/ タ イムアウ ト の場合の処理 :
•
I2C へのバース ト 書 き 込みに対 し て、 シ ン ク コ アか ら DEFER 応答が返 さ れ る こ と があ り ます。 こ の場合、 ソ ー
ス コ アは次のいずれかの方法で対処 し ます。
°
書き込みス テータ ス コ マン ド を使用し て I2C に対し て進行中の転送をポー リ ン グする。書き込みがすべて完了
する と シン ク コ アは最後に ACK 応答を返し ます。 それ以前の書き込みに対し ては部分 ACK が返 さ れます。
°
同 じ コ マ ン ド (直前に発行 し たの と 同 じ デバ イ ス ア ド レ ス、 長 さ 、 デー タ の コ マ ン ド ) を発行 し て応答を待
つ。 I2C への書 き 込みが完了す る と 、 シ ン ク コ アは複数回の DEFER 応答の後、 ACK 応答を返 し ます。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
72
第 3 章 : コ ア を使用するデザイ ン
°
次のいずれかの方法で現在の書 き 込みを アボー ト す る 。
-
別の I2C ス レーブに対す る 書 き 込み
-
読み出 し コ マ ン ド
-
ア ド レ ス のみ読み出 し /書 き 込み、 MOT = 0
ト ラ ン ス ミ ッ タ ーのオーデ ィ オ/ビデオ ク ロ ッ ク 生成
ト ラ ン ス ミ ッ タ ーの ク ロ ッ キ ン グ アーキ テ ク チ ャ は、 『DisplayPort Standard v1.2』 に記載 さ れた非同期お よ び同期 ク
ロ ッ キ ン グ モー ド を ど ち ら も サポー ト し てい ます。 ク ロ ッ キ ン グ モー ド は、 Stream Clock Mode レ ジ ス タ (MAIN_
STREAM_MISC0 レ ジ ス タ のビ ッ ト [0]) で選択 し ます。 こ のビ ッ ト を 1 にセ ッ ト す る と リ ン ク ク ロ ッ ク と ス ト リ ーム
ク ロ ッ ク が同期 し 、 MVid と NVid の値が定数 と な り ます。 同期 ク ロ ッ キ ン グ モー ド では、 ソ ース コ アはホ ス ト プ ロ
セ ッ サが AXI4-Lite イ ン タ ーフ ェ イ ス経由で書き 込んだ MVid お よ び NVid レ ジ ス タ の値を使用 し ます。
Stream Clock Mode レ ジ ス タ を 0 にす る と 非同期 ク ロ ッ キ ン グ モー ド が有効にな り 、 MVid と NVid の関係が固定でな
く な り ま す。 こ のモー ド では、 ソ ー ス コ アは ク ロ ッ キ ン グ イ ン タ ーフ ェ イ ス の一部 と し て受け取っ た NVid お よ び
MVid の固定値を送信 し ます。
図 3-6 に、 ト ラ ン ス ミ ッ タ ーの ク ロ ッ ク 生成プ ロ セ ス のブ ロ ッ ク 図を示 し ます。
X-Ref Target - Figure 3-6
Stream Clock
External Clock
Management
Link Clock
Attribute
Generation
To Framing Insertion
MVid(23:0)
AXI4-Lite
AXI4-Lite
Interface
NVid(23:0)
UG696_6-5_101509
図 3‐6 : ト ラ ン ス ミ ッ タ ーのオーデ ィ オ/ビデオ ク ロ ッ ク 生成
ホ ッ ト プ ラ グ検出
ソ ー ス デバ イ ス は、 HPD 入力信号のサ ン プ リ ン グ間隔を 250μs よ り 大 き く し て信号をデバ ウ ン スす る 必要があ り ま
す。 パルス幅が 500μs ~ 1ms の場合、 シ ン ク デバ イ ス が割 り 込みを要求 し てい ます。 こ の割 り 込みは、 AXI4-Lite イ
ン タ ーフ ェ イ ス経由でホ ス ト プ ロ セ ッ サに渡 さ れます。
HPD 信号が Low の期間が 2ms を超えた場合、 シ ン ク デバ イ ス は接続を解除 さ れてお り 、 リ ン ク を シ ャ ッ ト ダ ウ ンす
る 必要があ り ま す。 ま た、 こ の条件は AXI4-Lite イ ン タ ーフ ェ イ ス 経由で割 り 込み と し て渡 さ れ ま す。 ホ ス ト プ ロ
セ ッ サは適切な DPCD レ ジ ス タ を読み出 し て割 り 込み要因を正 し く 判断 し 、 適切に対処する 必要があ り ます。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
73
第 3 章 : コ ア を使用するデザイ ン
HPD イ ベン ト ハン ド リ ング
HPD シ グナ リ ン グには 3 つのユース ケース があ り ます。
•
接続 イ ベン ト : HPD_EVENT イ ベン ト が検出 さ れ、 HPD の状態が 1。
•
切断 イ ベン ト : HPD_EVENT イ ベン ト が検出 さ れ、 HPD の状態が 0。
•
HPD IRQ イ ベン ト : INTERRUPT_STATUS レ ジ ス タ のビ ッ ト 0 でキ ャ プチ ャ 。
図 3-7 に、 ソ ース コ アの ス テー ト お よ び HPD イ ベン ト に対す る 基本的なア ク シ ョ ン を示 し ます。
X-Ref Target - Figure 3-7
+3'
'LVFRQQHFWHG
325VWDWH
+3'B(9(17 ,QWHUUXSWVWDWXV
UHJLVWHU%LW
+3'B(9(17+3' ,QLWLDWHOLQNWUDLQLQJ
+3' ,QWHUUXSWVLJQDOVWDWHUHJLVWHU
%LW
+3'B(9(17+3'
'LVDEOH0DLQ/LQN
+3'
&RQQHFWHG
2SHUDWLRQDO
VWDWH
+3',QWHUXSW
WUDQVLWLRQ
VWDWH
+3'B,54+3' &KHFN/LQNVWDWXVDQG
UHWUDLQLIUHTXLUHG
図 3‐7 : ソ ース コ アの HPD イ ベン ト ハン ド リ ング
セ カ ン ダ リ チ ャ ネルの動作
現在のバージ ョ ンの DisplayPort IP は 2 チ ャ ネル オーデ ィ オ をサポー ト し てい ます。 オーデ ィ オ オプシ ョ ン を有効に
す る と 、 S/PDIF コ ン ト ロ ー ラ ーが 1 つ生成 さ れ ま す (別途 ラ イ セ ン ス が必要)。 DisplayPort 規格 v1.1a のセ カ ン ダ リ
チ ャ ネル機能がサポー ト さ れます。
DisplayPort Audio IP コ アはモジ ュ ール と し て提供 さ れてお り 、必要に応 じ てシ ス テ ム を柔軟かつ自由に変更で き ます。
図 3-8 に示す よ う に、 DisplayPort コ アへのオーデ ィ オ イ ン タ ーフ ェ イ ス はシ ス テ ム設計お よ び IP 統合が容易にな る
よ う に、 AXI4-Stream イ ン タ ーフ ェ イ ス を用いて定義 さ れてい ます。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
74
第 3 章 : コ ア を使用するデザイ ン
X-Ref Target - Figure 3-8
,QJUHVVBDFON
,QJUHVVBDUHVHWQ
63',)B,
63',)
5HFHLYHU
,QJUHVVBWGDWD>@
,QJUHVVBWLG>@
0DLQ/LQN
'LVSOD\3RUW
6RXUFH
,QJUHVVBWYDOLG
,QJUHVVBWUHDG\
$GGSUHIL[³W[BVBD[LVBDXGLR´IRUDFWXDOVLJQDOQDPHV
WKHDFWXDOZUDSSHUFRQWDLQVSURYLVLRQWRFRQQHFWH[WHUQDO
DXGLRFRQWUROOHUWRVWUHDPLQJSRUWDQGGHEXJSRUWVIRUDXGLR
WUDIILFDQGVDPSOHUDWHPHDVXUHPHQW
;
図 3‐8 : DisplayPort ソ ース シ ス テムのオーデ ィ オ デー タ イ ン タ ー フ ェ イ ス
S/PDIF は DisplayPort ソ ース のデフ ォ ル ト コ ン ト ロ ー ラ ー と し て使用 さ れ ます。 こ のため AXI-S/PDIF が DisplayPort
コ アに付属 し 、 サンプル デザ イ ンに含まれてい ます。 こ のシ ス テ ムでは、 AXI4-Stream イ ン タ ーフ ェ イ スへのア ク セ
ス が可能です。イ ン タ ーフ ェ イ ス の タ イ ミ ン グについては、『Vivado Design Suite : AXI リ フ ァ レ ン ス ガ イ ド 』 [参照 10]
を参照 し て く だ さ い。
レ シーバー と し ての S/PDIF コ ン ト ロ ー ラ ーは S/PDIF ラ イ ンか ら オーデ ィ オ サンプルを受信 し 、内部バ ッ フ ァ ーに格
納 し ます。 32 ビ ッ ト AXI TDATA の フ ォーマ ッ ト は次の と お り です。
制御ビ ッ ト + 24 ビ ッ ト オーデ ィ オ サンプル + プ リ ア ンブル
DisplayPort コ ア の受信チ ャ ネル バ ッ フ ァ ーは、 バ ッ フ ァ ーの空 き お よ びオーデ ィ オ制御プ ロ グ ラ ム に基づい て S/
PDIF コ ン ト ロ ー ラ ーか ら デー タ を受け取 り ま す。 AXI4-Stream プ ロ ト コ ルの定義に従い、 tready と tvalid がア
サー ト さ れてい る 場合に有効な転送が発生 し ます。 受信チ ャ ネル バ ッ フ ァ ーは保持バ ッ フ ァ ー と し て機能 し ます。詳
細は 『LogiCORE IP S/PDIF 製品ガ イ ド 』 (PG045) [参照 4] を参照 し て く だ さ い。
DisplayPort ソ ース のセカ ン ダ リ パケ ッ ト の長 さ は、 [ヘ ッ ダー = 4 バ イ ト + 4 パ リ テ ィ バ イ ト 、 ペ イ ロ ー ド = 32 サン
プル バ イ ト + 8 パ リ テ ィ バ イ ト ] に固定 さ れてい ます。 1 ~ 2 チ ャ ネル送信では、 ソ ース は 8 つのオーデ ィ オ サンプ
ルを内部チ ャ ネル バ ッ フ ァ ーに蓄積 し た後、 パケ ッ ト を メ イ ン リ ン ク に送信 し ます。
S/PDIF レ シーバーのプ ログ ラ ム
1.
S/PDIF ソ フ ト リ セ ッ ト レ ジ ス タ (S/PDIF レ シーバーのベース ア ド レ ス + 0x40) に 0x000A を書き 込み、 S/PDIF
レ シーバーを リ セ ッ ト し ます。 ビデオ/オーデ ィ オ パ ラ メ ー タ ーが変更 さ れた場合は、 こ の手順に従 う こ と を推
奨 し ます。
2.
S/PDIF 制御レ ジ ス タ (S/PDIF レ シーバーのベース ア ド レ ス + 0x44) に 0x0001 を書 き 込み、 オーデ ィ オ受信を有
効に し ます。
3.
S/PDIF チ ャ ネル ス テー タ ス レ ジ ス タ (S/PDIF レ シーバーのベース ア ド レ ス + 0x4C) のチ ャ ネル ス テー タ ス ビ ッ
ト [24:27] を読み出 し 、 サンプ リ ン グ周波数 (32k、 44.1k、 48k) の情報を取得 し ます (表 3-3 参照)。
表 3‐3 : サン プ リ ング周波数ビ ッ ト
ビ ッ ト [24:27] サン プ リ ング周波数
0000
44.1k
0100
48k
1100
32k
入力オーデ ィ オ レー ト に応 じ て、 aud_clk ジ ェ ネ レー タ ーの周波数を 512*fs に調整 し ます。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
75
第 3 章 : コ ア を使用するデザイ ン
S/PDIF レ シーバーには受信 FIFO フル、 受信 FIFO エ ン プテ ィ 、 ブ ロ ッ ク 開始、 BMC エ ラ ー、 プ リ ア ン ブル エ
ラ ーの割 り 込みがあ り 、 こ れ ら を 1 つの割 り 込み出力信号で生成 し ます。 こ れ ら の割 り 込みを有効にす る 方法の
詳細は、 『LogiCORE IP S/PDIF 製品ガ イ ド 』 (PG045) [参照 4] を参照 し て く だ さ い。
DisplayPort ソ ースのプ ログ ラ ム
1.
TX_AUDIO_CONTROL レ ジ ス タ に 0x00 を書き 込み、 オーデ ィ オ を無効に し ます。 オーデ ィ オ イ ネーブル ビ ッ
ト を 0 にす る と DisplayPort ソ ー ス のバ ッ フ ァ ーが フ ラ ッ シ ュ さ れ、 VB-ID の MUTE ビ ッ ト がセ ッ ト さ れ ま す。
ビデオ/オーデ ィ オ パ ラ メ ー タ ーが変更 さ れた場合は、 こ の手順に従 う こ と を推奨 し ます。
2.
必要に応 じ てオーデ ィ オ情報フ レーム を書 き 込みます (シ ス テ ムに よ っ ては こ の手順は不要)。 オーデ ィ オ
InfoFrame は 8 回の書 き 込みで構成 さ れます。 書 き 込み ト ラ ンザ ク シ ョ ンは順序が重要です。 表 2-11 に示 し た手
順に従っ て く だ さ い。
3.
チ ャ ネル数 (実際のチ ャ ネル数か ら 1 を引いた値) を TX_AUDIO_CHANNELS レ ジ ス タ に書き 込みます。
4.
同期 ク ロ ッ キ ン グ を使用 し てい る シ ス テ ムの場合、 MAUD お よ び NAUD 値を TX_AUDIO_MAUD お よ び
TX_AUDIO_NAUD レ ジ ス タ に書 き 込みます。
5.
TX_AUDIO_CONTROL レ ジ ス タ に 0x01 を書き 込み、 オーデ ィ オ を有効に し ます。S/PDIF の手順がすべて完了 し
た こ と を確認 し てか ら DisplayPort オーデ ィ オ を有効に し ます。
ソ ース オーデ ィ オの再プ ロ グ ラ ム
1.
S/PDIF RX コ ア を リ セ ッ ト し ます。
2.
DisplayPort ソ ース コ アが処理中のセカ ン ダ リ 送信を完了で き る よ う に、 約 1 ~ 2ms 待機 し ます。
3.
DisplayPort TX コ アのオーデ ィ オを無効に し ます。
4.
ビデオ/オーデ ィ オ ク ロ ッ ク が リ カバ リ さ れ、 安定す る ま で待ち ます。
5.
DisplayPort TX コ アのオーデ ィ オを有効に し ます。
6.
数 s 間待機 し ます。
7.
S/PDIF 受信を有効に し ます。
情報パケ ッ ト の管理
こ の コ アには、 1 つの情報パケ ッ ト を書 き 込むオプシ ョ ンがあ り ます。 こ のパケ ッ ト は、 1 ビデオ フ レーム (8192 サ
イ ク ル) ご と に 1 回、 シ ン ク に送信 さ れます。
送信中に情報パケ ッ ト を変更す る には、 次の手順を実行 し ます。
1.
オーデ ィ オを無効に し ます (情報パケ ッ ト の変更には必ずオーデ ィ オ コ ン フ ィ ギ ュ レーシ ョ ンの変更が伴 う ため)。
オーデ ィ オを無効にする と 、 内部オーデ ィ オ バ ッ フ ァ ーも フ ラ ッ シ ュ さ れます。
2.
「DisplayPort ソ ース のプ ロ グ ラ ム」 で説明 さ れてい る 手順に従っ て く だ さ い。
拡張パケ ッ ト の管理
拡張パケ ッ ト 用に、 1 パケ ッ ト 分のバ ッ フ ァ ーが用意 さ れてい ます。 こ のバ ッ フ ァ ーに拡張パケ ッ ト が格納 さ れ る と 、
セカ ン ダ リ チ ャ ネルが利用可能にな り 次第、 パケ ッ ト が送信 さ れます。 パケ ッ ト 長は 8 ワー ド (32 バ イ ト ) 固定です。
DisplayPort ソ ース コ ン ト ロ ー ラ ーで拡張パケ ッ ト を書き 込むには、 次の手順を実行 し て く だ さ い。
1.
TX_AUDIO_EXT_DATA バ ッ フ ァ ーに正 し い順序で 9 ワー ド を書 き 込みます。
2.
EXT_PKT_TXD 割 り 込みを待ち ます。
3.
手順 1 に従っ て新 し いパケ ッ ト を書 き 込みます。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
76
第 3 章 : コ ア を使用するデザイ ン
オーデ ィ オ ク ロ ッ キング (推奨)
シ ス テ ムには、 512*fs (オーデ ィ オ サンプル レー ト ) の ク ロ ッ ク 周波数を生成する ための (で き ればプ ロ グ ラ マブルな)
ク ロ ッ ク ジ ェ ネ レー ターが必要です。 こ の ク ロ ッ ク は、 S/PDIF コ ン ト ロ ー ラ ーが AXI4-Stream イ ン タ ーフ ェ イ ス経由
でデー タ を ス ト リ ー ミ ン グする際に使用し ます。 こ の同 じ ク ロ ッ ク (aud_clk) は、 非同期 ク ロ ッ キ ン グ モー ド で動作中
に DisplayPort ソ ース デバ イ ス が MAUD お よび NAUD 値を計算する際に も 使用し ます。
S/PDIF サンプ リ ン グ ク ロ ッ ク (aud_axis_aclk) は、 コ ン ト ロ ー ラ ーがバ イ フ ェーズ ス ト リ ームか ら デー タ を抽出す る
際に使用 し ます。 こ の ク ロ ッ ク は、 512*fs (オーデ ィ オ サンプル周波数) よ り 高い周波数 と する 必要があ り ます。 通常
は、 32KHz か ら 192KHz ま でのあ ら ゆ る レー ト を リ カバ リ で き る よ う に 100MHz な ど の高い周波数に設定 さ れます。
詳細は、 「 ク ロ ッ キ ン グ」 を参照 し て く だ さ い。
X-Ref Target - Figure 3-9
63',)
5HFHLYHU
PBD[LVBDFON
'LVSOD\3RUW
6RXUFH
W[BDXGBFON
0DLQ/LQN
W[BVBD[LVBDXGLRBLQJUHVVBDFON
$XGLR
&ORFN
IV$XGLR6DPSOH5DWH
63',)
6DPSOLQJ
&ORFN
DXGBFONBL
6KRXOGEH! IV
;
図 3‐9 : ソ ース : オーデ ィ オ ク ロ ッ キング
MST モー ド での コ アのプ ログ ラ ム
こ のセ ク シ ョ ンでは、 MST モー ド で コ ア を設定する 手順について説明 し ます。
MST の有効化
MST 機能を有効にす る には、 次の手順を推奨 し ます。
1.
ト レーニ ン グ手順を実行 し て メ イ ン リ ン ク を立ち上げます。
2.
AUX チ ャ ネルを使用 し てサ イ ド バン ド メ ッ セージ を送信 し て リ ン ク を検出 し ます (接続 さ れてい る ダ ウ ン ス ト
リ ーム (下位) ノ ー ド 数お よ びそれ ら の機能)。
3.
MST コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ のビ ッ ト 0 に 1 を書き 込み、 MST を有効に し ます。
4.
『DisplayPort Standard』 のセ ク シ ョ ン 1.2.1 に記載の推奨事項に従っ て MST ダ ウ ン ス ト リ ーム デバ イ ス を検出 し
ます。 MST 検出用の ソ フ ト ウ ェ アは、 シ ス テ ム パフ ォーマ ン ス に よ っ て異な り ます。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
77
第 3 章 : コ ア を使用するデザイ ン
5.
コ ン フ ィ ギ ュ レーシ ョ ンお よ びシ ン ク PBN (Payload Bandwidth Number) に基づいて タ イ ム ス ロ ッ ト を割 り 当て ま
す。 VC ペ イ ロ ー ド 割 り 当て前に使用 さ れ る 代表的なサ イ ド バン ド メ ッ セージには、 Link Address Request、 Clear
Payload Table、 Enumerate Path Resources があ り ます。
a.
割 り 当て要件に応 じ て、 VC ペ イ ロ ー ド バ ッ フ ァ ー (12'h0x800 ~) に書き 込みます。
b.
『DisplayPort Standard』 のセ ク シ ョ ン 2.6.4 の説明に従い、 シ ン ク コ アに も AUX チ ャ ネルを使用 し て同 じ 割
り 当て タ イ ム ス ロ ッ ト を書 き 込みます。
c.
シ ン ク が割 り 当ての書き込みを受け付け る ま で待ち ます (DPCD 読み出 し をチ ェ ッ ク し て ス テー タ ス を確認)。
d.
シ ン ク が VC Payload Allocated (DPCD ア ド レ ス = 0x02C0) を セ ッ ト 後、MST コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス
タ (12'h0x0D0) の VC ペ イ ロ ー ド の割 り 当て ビ ッ ト を セ ッ ト し ます。 こ れに よ り 、 ソ ース コ ン ト ロ ー ラ ーは
ACT ト リ ガーを送信で き る よ う にな り ます。
6.
DPCD ア ド レ ス (0x02C0) で ACT Handled ビ ッ ト がセ ッ ト さ れ る ま で待ち ます。
7.
必要な ス ト リ ームに対 し て ビデオ属性を設定 し ます。 すべての ス ト リ ームに対 し て、 ユーザー ピ ク セル幅を 4 に
設定 し ます。
8.
ス ト リ ームの要件に基づいて Rate Governing レ ジ ス タ 0x1D0、 0x1D4、 0x1D8、 0x1DC を設定 し ます。
°
TRANSFER_UNIT_SIZE に、その ス ト リ ームに割 り 当ててい る タ イ ム ス ロ ッ ト 数を設定 し ます (VC ペ イ ロ ー
ド サ イ ズ ソ ース)。
°
FRAC_BYTES_PER_TU = TS_FRAC と 設定 し ます。
°
MIN_BYTES_PER_TU = TS_INT と 設定 し ます。
°
INIT_WAIT = 0 と 設定 し ます。
注記 : 各ス ト リ ームに対 し て手順 7 を繰 り 返 し ます。
9.
MST コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ のビ ッ ト 0 に 1 を書き 込み、 MST を有効に し ます。
こ れ ら の手順が完了す る と 、 ソ ー ス コ ン ト ロ ー ラ ーは VC ペ イ ロ ー ド の設定に従 っ て メ イ ン リ ン ク で MST ト ラ
フ ィ ッ ク の送信を開始 し ます。
ペ イ ロー ド 帯域幅管理
ソ ース コ ン ト ロ ー ラ ーでペ イ ロ ー ド 帯域幅を管理する には、 次の手順を実行 し ます。
1.
『DisplayPort Standard v.1.2』 以降に基づいて Target_Average_StreamSymbolTimeSlotsPerMTP を計算 し ます。 そのた
めには、 計算で求めた Target_Average_StreamSymbolTimeSlotsPerMTP を最 も 近い偶数境界にア ラ イ メ ン ト し た値
を VC ペ イ ロ ー ド サ イ ズに書 き 込みます。
た と えば値が 13 の場合、 こ の ス ト リ ームの VC ペ イ ロ ー ド サ イ ズ を 14 に設定 し ます。
2.
MST モー ド で GT デー タ 幅が 4 バ イ ト の場合、 VC ペ イ ロ ー ド は 4 の倍数 と する 必要があ り ます。
3.
UHD (1920X2200) ス ト リ ーム、 RGB カ ラ ー サンプ リ ン グ、 8 ビ ッ ト カ ラ ー、 5.4Gbps、 4 レーンの場合の VC ペ
イ ロ ー ド の計算方法は次の と お り です。
VC ペ イ ロ ー ド 帯域幅 = LINK_RATE*Lane_count*10
= 5.4*4*10
= 2160
MTP あ た り の平均ス ト リ ーム シ ン ボル タ イ ム ス ロ ッ ト = (Pixel_rate* Bits_per_pixel/8/VC Payload_Band width) *64
= (297MHz * 24 /8/2160)*64
= 26.4
VC ペ イ ロ ー ド サ イ ズ = MTP あ た り の平均ス ト リ ーム シ ン ボル タ イ ム ス ロ ッ ト の 2/4 シ ン ボル ア ラ イ メ ン ト
= 28
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
78
第 3 章 : コ ア を使用するデザイ ン
4.
DPCD 規格の定義に従っ て VC ペ イ ロ ー ド テーブルを設定 し ます。
5.
レ ジ ス タ 12'h0x800 ~ 12'h0x8FC の定義に従っ て ソ ース コ ン ト ロー ラ ーの VP ペイ ロー ド テーブルを設定し ます。
シ ン クの概要
シ ン ク コ アは、 ビデオの受信を開始す る 前にい く つかの初期化手順が必要です。 こ れには、 物理 イ ン タ ーフ ェ イ ス
(PHY) の立ち上げ、 AUX チ ャ ネル イ ン タ ーフ ェ イ ス を適切に管理す る ための内部レ ジ ス タ 設定な ど の手順が含まれ
ます (図 3-10 参照)。
X-Ref Target - Figure 3-10
Set the AUX clock divider at register offset 0x004
Set PHY_RESET register (0x200) to 0x03
(resets both CPLL and GT RX/TX)
Set PHY_RESET register (0x200) to 0x02
(release CPLL reset only)
Wait until all lane CPLLs have locked
(both bits [5:4] in PHY_STATUS register set to '1')
Remove the reset from the PHY by writing a 0x00 to offset
0x200
Wait until the PHY has completed the reset cycle as
indicated by register offset 0x208, bits 7:0 = 0xff
Enable the receiver core by writing a 0x01 to offset 0x000
Set the user data width at offset 0x010 to the appropriate
value (1 or 2)
Enable the Display Timing Generator by writing a value of
0x01 to register offset 0x00C
UG697_6-1_061812
図 3‐10 : レ シーバー コ アの初期化
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
79
第 3 章 : コ ア を使用するデザイ ン
サンプル デザ イ ンのシ ン ク ポ リ シー メ ーカーは、 基本的な初期化手順を実装 し てい ます。 電源投入後、 次のシ ン ク
レ ジ ス タ を設定す る こ と を推奨 し ます。
•
LINK_BW_SET のオーバー ラ イ ド
•
LANE_COUNT_SET のオーバー ラ イ ド
•
DPCD DOWNSPREAD のオーバー ラ イ ド
•
シ ン ク デバ イ ス数
こ れ ら の値は、 シ ン ク の主要な DPCD 能力を示 し ます。
DisplayPort リ ン ク のホ ッ ト プ ラ グ検出 (HPD) 信号は、 レ シーバー コ ア イ ネーブル ビ ッ ト の状態に直接 さ れてい ます。
こ の コ アが有効にな る ま で、 レ シーバーは AUX ト ラ ンザ ク シ ョ ンに も メ イ ン リ ン ク ビデオ入力に も 応答 し ません。
デ ィ ス プ レ イ タ イ ミ ン グ ジ ェ ネ レー タ ー (DTG) はいつで も 有効にで き ますが、 レ シーバー コ ア ポ リ シー メ ーカー
が有効ビデオの開始を検出 し てか ら 有効にす る こ と を推奨 し ます。 こ の条件は、 垂直お よ び水平解像度の値の変化を
検出す る MODE_INTERRUPT のアサー ト に よ っ て最初に検出で き ます。
こ の割 り 込みを受信す る と 、レ シーバー ポ リ シー メ ーカーは MSA (オ フ セ ッ ト 0x500 ~ 0x530) の値をチ ェ ッ ク し て、
要求 さ れた ビデオ モー ド がシ ン ク デバ イ ス でサポー ト さ れ る 範囲内であ る こ と を確認す る 必要があ り ます。 こ れ ら
の値がサポー ト さ れ る 範囲内の場合、 デ ィ ス プ レ イ タ イ ミ ン グ ジ ェ ネ レ ー タ ーを有効に し てユーザー デー タ イ ン
タ ーフ ェ イ ス経由で有効な ビデオ フ レームの送信を開始する 必要があ り ます。
リ ン ク ト レーニ ン グ
リ ン ク ト レーニ ン グ コ マ ン ド は DPCD レ ジ ス タ ブ ロ ッ ク か ら リ ン ク ト レーニ ン グ フ ァ ン ク シ ョ ンへ渡 さ れます。リ
ン ク ト レーニ ン グ モー ド に入 る と 機能デー タ パス はブ ロ ッ ク さ れ、 リ ン ク ト レーニ ン グ コ ン ト ロ ー ラ ーが PHY を
監視 し て指定 さ れたパ タ ーン を検出 し ます。 ソ ース が ト レーニ ン グ パ タ ーンの送信を開始す る 前に必ずシ ン ク コ ア
を適切な リ ン ク ト レーニ ン グ モー ド に し ておいて く だ さ い。 こ の条件を満た さ ない場合、 予測不能な結果 と な る こ
と があ り ます。
リ ン ク ト レーニ ン グの手順は 『DisplayPort Standard v1.2』 のセ ク シ ョ ン 3.5.1.3 で定義 さ れてい ます。
シ ン ク コ アの メ イ ン リ ン ク は、 ビデオ デー タ の ス ト リ ーム を ユーザーに向けて駆動 し ます。 水平お よ び垂直同期信
号を使用 し て フ レー ミ ン グ を行 う こ のユーザー イ ン タ ーフ ェ イ ス は、 業界標準のデ ィ ス プ レ イ コ ン ト ロ ー ラ ー と の
親和性が高 く 、 既存のビデオ ス ト リ ームへの接続が容易です。 こ の コ アはデー タ お よ び制御シ グナ リ ン グ を提供 し ま
すが、 ユーザーが適切な ク ロ ッ ク を供給す る 必要があ り ます。 こ の ク ロ ッ ク は、 コ アに よ っ て提供 さ れ る M お よ び
N 値を使用 し て生成で き ます。 こ れ以外の方法で も 生成可能です。 こ の コ アにはア ン ダーフ ロ ー保護があ る ため、 高
速 ク ロ ッ ク を使用 し てデー タ を フ レーム バ ッ フ ァ ーに転送で き ます。
ピ ク セル デー タ 幅は、 レ ジ ス タ フ ィ ール ド で 1 ピ ク セル、 2 ピ ク セル、 4 ピ ク セルのいずれか を指定で き ます。 ビ ッ
ト 幅 と フ ォーマ ッ ト は、 レ ジ ス タ フ ィ ール ド と し て提供 さ れ る MSA (Main Stream Attribute) か ら 決定 し ます。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
80
第 3 章 : コ ア を使用するデザイ ン
X-Ref Target - Figure 3-11
.
.
.
Bus
De-steering
Data FIFO
Main
Stream
Handler
User I/F
Interlane Deskew
.
.
.
Unpacker
Descrambler
Transceiver I/F
Descrambler
Isochronous Transport Services
Unpacker
DS735_02_061812
図 3‐11 : シ ン クの メ イ ン リ ン ク デー タ パス
図 3-12 に、 リ ン ク ト レーニ ン グの フ ロ ーを示 し ます。
X-Ref Target - Figure 3-12
Main Link
Disabled
Clock Recovery
Pattern
Training Pattern = 1
Normal Operation
Training Pattern = 1
Training Failed
Channel EQ
Pattern
Training Pattern 2/3
Done
Training Failed
UG697_6-2_100909
図 3‐12 : リ ン ク ト レーニ ングのス テー ト
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
81
第 3 章 : コ ア を使用するデザイ ン
レ シーバー ク ロ ッ ク の生成
レ シーバー コ アは、リ カバ リ し た イ メ ージ デー タ をユーザー デー タ イ ン タ ーフ ェ イ ス で送信する ためのビデオ ス ト
リ ーム ク ロ ッ ク を生成す る 必要があ り ます。 正確な ス ト リ ーム ク ロ ッ ク を再生成す る のに必要な情報は、 MSA 内の
デー タ フ ィ ール ド (M お よ び N 値) に格納 さ れてい ます。 レ シーバー コ アは こ の情報を専用信号に出力 し 、 こ れ ら の
値の変更を更新フ ラ グ と し て通知 し ます。ま たは、ユーザーが高速 ク ロ ッ ク を使用 し てユーザー デー タ イ ン タ ーフ ェ
イ ス か ら デー タ を取 り 出 し て フ レーム バ ッ フ ァ ーへプ ッ シ ュ する こ と も で き ます。
図 3-13 に、 コ アか ら の M お よ び N 値を使用 し て ク ロ ッ ク を生成す る 方法を示 し ます。 詳細は 『DisplayPort Standard
v1.2』 のセ ク シ ョ ン 2.2.3 を参照 し て く だ さ い。
X-Ref Target - Figure 3-13
Stream Clock
lnk_clk
Transceiver
lnk_m_vid(23:0)
External Clock
Management
lnk_n_vid(23:0)
DisplayPort
Receiver Core
lnk_clk
UG697_6-3_100909
図 3‐13 : レ シーバー ク ロ ッ ク の生成
一般的な イ ベン ト の検出
アプ リ ケーシ ョ ンに よ っ ては、 い く つかの イ ベン ト を検出す る 必要があ り ます。 こ のセ ク シ ョ ンでは、 こ れ ら イ ベン
ト の検出方法について説明 し ます。
ビデオ信号あ り か ら な し への遷移
ソ ース コ アが動作中にビデオ送信を停止する こ と があ り ます。 こ れは NO_VIDEO 割 り 込みで検出 し ます。 ビデオ信
号な し の間は、 MSA 値は信用で き ません。
ビデオ信号な し か ら あ り への遷移
NO_VIDEO パ タ ーン後の ビデオ送信は、 VERTICAL_BLANKING 割 り 込みで検出で き ま す。 デ ィ ス プ レ イ タ イ ミ ン
グ ジ ェ ネ レー タ ーが無効の場合、 VERTICAL_BLANKING 割 り 込みを受信する と こ れを再び有効にで き ます。
モー ド 変更
モー ド 変更は MODE_CHANGE 割 り 込みで検出で き ます。 レ ジ ス タ 空間か ら 新 し い MSA 値を読み出すか メ イ ン リ ン
ク の専用ポー ト を使用 し て ビデオ デー タ を正 し く フ レー ミ ン グする 必要があ り ます。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
82
第 3 章 : コ ア を使用するデザイ ン
ケーブルが抜けた場合、 ト レーニ ングが失われた場合
何 ら かの理由でケーブルが抜けた場合、 ま たは ト レーニ ン グが失われた場合、 TRAINING_LOST 割 り 込みが発生 し ま
す。 こ の時点で、 ビデオ デー タ と MSA の値は信用で き ない も のにな り ます。
ケーブルが再び接続 さ れ る と 、 ユーザーに よ る 操作な し で、 コ アが正 し く 自己 リ セ ッ ト し て HPD を適用 し ます。
リ ン ク ト レーニ ング完了
PHY_STATUS レ ジ ス タ を読み出 し 、 すべてのア ク テ ィ ブな レーンの レーン ア ラ イ メ ン ト と シ ン ボル ロ ッ ク を監視す
る こ と で、 コ アが正 し く ト レーニ ン グ を実行 し てい る こ と を確認で き ます。 ま た、 PLL が ロ ッ ク し て リ セ ッ ト が完了
し てい る こ と も PHY_STATUS レ ジ ス タ で確認 し てお く こ と を推奨 し ます。
セ カ ン ダ リ チ ャ ネル
現在のバージ ョ ンの DisplayPort コ アは 2 チ ャ ネル オーデ ィ オをサポー ト し てい ます。 オーデ ィ オ オプシ ョ ン を有効
にす る と 、 S/PDIF コ ン ト ロ ー ラ ーが生成 さ れます。 DisplayPort 規格 v1.1a のセカ ン ダ リ チ ャ ネル機能がサポー ト さ れ
ます。 DisplayPort Audio IP コ アはモジ ュ ール と し て提供 さ れてお り 、 必要に応 じ てシ ス テ ム を柔軟に変更で き ます。
図 3-14 に示す よ う に、 DisplayPort コ アへのオーデ ィ オ イ ン タ ーフ ェ イ ス は AXI4-Stream イ ン タ ーフ ェ イ ス を用いて
定義 さ れてい ます。
S/PDIF は DisplayPort シ ン ク のデフ ォ ル ト コ ン ト ロ ー ラ ー と し て使用 さ れます。 こ のため S/PDIF が DisplayPort IP に
付属 し 、 サンプル デザ イ ンに も 含まれてい ます。 AXI4-Stream イ ン タ ーフ ェ イ スへのア ク セ ス が可能です。 イ ン タ ー
フ ェ イ ス の タ イ ミ ン グについては、 『Vivado Design Suite : AXI リ フ ァ レ ン ス ガ イ ド 』 [参照 10] を参照 し て く だ さ い。
メ イ ン リ ン ク か ら 受信 し たオーデ ィ オ デー タ と セカ ン ダ リ パケ ッ ト は、DisplayPort シ ン ク コ アの内部バ ッ フ ァ ーに
格納 さ れ ます。 DisplayPort の AXI4-Stream イ ン タ ーフ ェ イ ス は、 オーデ ィ オ サ ン プル と 制御ビ ッ ト を一緒に S/PDIF
ト ラ ン ス ミ ッ タ ーに転送 し ま す。 AXI4-Stream ス レ ーブ は、 こ れ を た だ ち に受 け 取 る 必要が あ り ま す。 つ ま り 、
DisplayPort シ ン ク に対す る バ ッ ク プ レ ッ シ ャ ーはあ り ません。
S/PDIF ト ラ ン ス ミ ッ タ ーは、 S/PDIF プ ロ ト コ ル フ ォーマ ッ ト に基づいてサンプルを送信 し ます。 通常、 S/PDIF PHY
は差動デバ イ ス のため、 差動信号を生成 し てシ ス テ ム レベルで PHY に正 し く 接続す る 必要があ り ます。
X-Ref Target - Figure 3-14
(JUHVVBDFON
(JUHVVBDUHVHWQ
(JUHVVBWGDWD>@
0DLQ/LQN
'LVSOD\3RUW
6LQN
(JUHVVBWLG>@
63',)
7UDQVPLWWHU
63',)B2
(JUHVVBWYDOLG
(JUHVVBWUHDG\
$GGSUHIL[³U[BPBD[LVBDXGLR´IRUDFWXDOVLJQDOQDPHV
;
図 3‐14 : DisplayPort シ ン ク シ ス テムのオーデ ィ オ デー タ イ ン タ ー フ ェ イ ス
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
83
第 3 章 : コ ア を使用するデザイ ン
オーデ ィ オ管理
こ のセ ク シ ョ ンでは、 オーデ ィ オ通信に必要な手順について説明 し ます。
DisplayPort シ ン ク のプ ログ ラ ム
1.
RX_AUDIO_CONTROL レ ジ ス タ に 0x00 を書 き 込み、 オーデ ィ オを無効に し ます。 オーデ ィ オ イ ネーブル ビ ッ
ト を 0 にす る と 、 DisplayPort シ ン ク のバ ッ フ ァ ーが フ ラ ッ シ ュ さ れます。 ビデオ/オーデ ィ オ パ ラ メ ー タ ーが変
更 さ れた場合は、 こ の手順に従 う こ と を推奨 し ます。
2.
RX_AUDIO_CONTROL レ ジ ス タ に 0x01 を書き 込み、 オーデ ィ オ を有効に し ます。
3.
情報パケ ッ ト を読み出すには、 RX_AUDIO_STATUS[0] レ ジ ス タ を ポー リ ン グ し 、 アサー ト さ れた ら 8 ワー ド す
べて を読み出 し ます。
4.
MAUD と NAUD は出力ポー ト と し て も レ ジ ス タ と し て も ア ク セ ス で き ます。デザ イ ンの ク ロ ッ キ ン グ構造に従っ
て こ れ ら の値を使用 し ます。 た と えば、 ソ フ ト ウ ェ アでポー リ ン グ ルーチン を使用し て変化を検出し 、 PLL-M お
よ び N 値のプ ロ グ ラ ム を ト リ ガーで き ます。
S/PDIF ト ラ ン ス ミ ッ タ ーのプ ログ ラ ム
1.
S/PDIF ソ フ ト リ セ ッ ト レ ジ ス タ (S/PDIF ト ラ ン ス ミ ッ タ ーのベース ア ド レ ス + 0x40) に 0x0A を書 き 込み、
S/PDIF ト ラ ン ス ミ ッ タ ーを リ セ ッ ト し ます。 ビデオ/オーデ ィ オ パ ラ メ ー タ ーが変更 さ れた場合は、 こ の手順に
従 う こ と を推奨 し ます。
2.
S/PDIF 制御レ ジ ス タ (S/PDIF ト ラ ン ス ミ ッ タ ーのベース ア ド レ ス + 0x44) に 0x0005 を書 き 込み、 オーデ ィ オ送
信を有効に し てオーデ ィ オ ク ロ ッ ク 分周値を 「0001」 (すなわち 8) に設定 し ます。 こ れに よ り 、 FS サンプ リ ン グ
レー ト の S/PDIF 信号が生成 さ れます。ユーザーは 512*fs (すなわち ビ ッ ト レー ト の 8 倍。 ビ ッ ト レー ト は 64*fs)
のオーデ ィ オ ク ロ ッ ク を供給す る 必要があ り ます。
S/PDIF ト ラ ン ス ミ ッ タ ーには TX FIFO フルお よ び TX FIFO エンプテ ィ 割 り 込みがあ り 、 こ れ ら を 1 つの割 り 込み出
力信号で生成 し ます。 こ れ ら の割 り 込みを有効にす る 方法の詳細は、 『LogiCORE IP S/PDIF 製品ガ イ ド 』 (PG045) [参
照 4] を参照 し て く だ さ い。
シ ン ク オーデ ィ オの再プ ロ グ ラ ム
1.
VB-ID を ポー リ ン グ し て MUTE の ス テー タ ス を調べます。
2.
MUTE ビ ッ ト がセ ッ ト さ れてい る 場合、 DisplayPort レ シーバーでオーデ ィ オを無効に し ます。
3.
S/PDIF ト ラ ン ス ミ ッ タ ーを無効に し ます。
4.
数 µs、 ま たは MUTE ビ ッ ト がデ ィ アサー ト す る ま で待ち ます。
5.
DisplayPort レ シーバーでオーデ ィ オを有効に し ます。
6.
S/PDIF ト ラ ン ス ミ ッ タ ーを有効に し ます。
情報/拡張パケ ッ ト の読み出 し
こ れ ら のパケ ッ ト はポー リ ン グ モー ド ま たは割 り 込みモー ド で読み出す こ と がで き ます。
ポー リ ング モー ド
1.
情報/拡張パケ ッ ト ビ ッ ト がセ ッ ト さ れ る ま で RX_AUDIO_STATUS レ ジ ス タ を読み出 し ます。
2.
情報/拡張パケ ッ ト ビ ッ ト の設定に基づ き 、 対応す る バ ッ フ ァ ーを ただちに読み出 し ます。 バ ッ フ ァ ーを読み出
さ ない と 新 し いパケ ッ ト を取 り こ ぼ し ます。
3.
パケ ッ ト を読み出す と 、 ス テー タ ス ビ ッ ト は自動的に ク リ ア さ れます。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
84
第 3 章 : コ ア を使用するデザイ ン
割 り 込みモー ド
1.
マ ス ク を適切に設定 し 、 EXT_PKT_RXD/INFO_PKT_RXD 割 り 込みが有効にな っ てい る こ と を確認 し ます。
2.
割 り 込みを待ち、 INTERRUPT_CAUSE レ ジ ス タ を読み出 し て EXT_PKT_RXD ま たは INFO_PKT_RXD ビ ッ ト が
セ ッ ト さ れてい る か ど う か を確認 し ます。
3.
割 り 込みス テー タ ス に基づ き 、 適切なバ ッ フ ァ ーか ら ただちにパケ ッ ト を読み出 し ます。
オーデ ィ オ ク ロ ッ キング (推奨)
DisplayPort シ ン ク デバ イ ス はア ッ プ ス ト リ ーム ソ ース デバ イ ス か ら MAUD お よ び NAUD 値を受信 し ます。 シ ス テ
ムは、 出力ポー ト お よ びレ ジ ス タ を利用 し て こ れ ら の値にア ク セ ス で き ます。
シ ス テ ムには、 MAUD お よ び NAUD 値に基づいて 512*fs (オーデ ィ オ サン プル レー ト ) の ク ロ ッ ク 周波数を生成す
る ための (で き ればプ ロ グ ラ マブルな) ク ロ ッ ク ジ ェ ネ レー タ ーが必要です。 S/PDIF ト ラ ン ス ミ ッ タ ーは こ の ク ロ ッ
ク を使用 し てデー タ を S/PDIF リ ン ク ヘ送信 し ます。
こ のオーデ ィ オ ク ロ ッ ク と AXI4-Stream ク ロ ッ ク の間に関係は必要あ り ません。 詳細は、 「 ク ロ ッ キ ン グ」 を参照 し
て く だ さ い。
X-Ref Target - Figure 3-15
0DLQ
/LQN
'LVSOD\3RUW
6LQN
VBD[LVBDFON
7RUHFRYHUIVFORFN
3//019DOXHV
VKRXOGEHSURJUDPPHG
EDVHGRQ0$8'DQG1$8'
YDOXHVUHFHLYHGIURPWKHPDLQ
OLQN
$;,
63',)
7UDQVPLWWHU
DXGBFONBL
U[BPBD[LVBDXGLRBHJUHVVBDFON
$XGLR
&ORFN
IV$XGLR6DPSOH5DWH
$;,
6WUHDPLQJ
IUHTXHQF\! IV
;
図 3‐15 : シ ン ク : オーデ ィ オ ク ロ ッ キング
MST モー ド での コ アのプ ログ ラ ム
こ のセ ク シ ョ ンでは、 MST モー ド でシ ン ク コ ア をプ ロ グ ラ ムす る 方法について説明 し ます。
MST の有効化
MST 機能を有効にする には、 リ ン ク を立ち上げて MST 機能レ ジ ス タ (0x0D0) で MST 機能を有効に し ます。ペ イ ロ ー
ド 割 り 当て と ACT イ ベン ト 処理が完了する と ソ ース デバ イ ス が MST を有効に し ます。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
85
第 3 章 : コ ア を使用するデザイ ン
MST AUX メ ッ セージ ング
MST AUX メ ッ セージ ン グ を プ ロ グ ラ ムする には、 次の手順を実行 し ます。
1.
DOWN_REQUEST_BUFFER_READY 割 り 込みを待っ てか ら 、 DOWN_REQUEST_BUFFER を読み出 し ます。
『DisplayPort Standard v1.2』 セ ク シ ョ ン 2.1.11.9 に従い、 サ イ ド バン ド メ ッ セージの収集を継続 し ます。 サ イ ド バ
ン ド メ ッ セージ を完全に受信後、 ソ フ ト ウ ェ アで メ ッ セージ を処理 し て DOWN_REPLY_BUFFER に応答を書 き
込みます。
2.
応答を書 き 込み後、 Remote Command New レ ジ ス タ の DOWN Reply Buffer Message を 1 にセ ッ ト し ます。
3.
DOWN_REPLY_BUFFER_READ 割 り 込みを待っ てか ら 、 応答の書き 込みを続け ます。
MST AUX メ ッ セージ ン グ段階で必要な PBN (利用可能な帯域幅) が計算 さ れ、 ソ ース に送信 さ れます。 その後、 ソ ー
ス は利用可能な帯域幅に基づいて割 り 当て要求を送信 し ます。
割 り 込み
割 り 込み イ ベン ト が発生 し た場合は、 INTERRUPT_CAUSE お よ び INTERRUPT_CAUSE_1 レ ジ ス タ を両方読み出 し
ます。
重要 : ソ フ ト ウ ェ アは、『DisplayPort Standard v1.2a』 のセ ク シ ョ ン 2.11.2 で定義 さ れてい る メ ッ セージ ト ラ ンザ ク シ ョ
ン プ ロ ト コ ルに従っ て適切な LINK_ADDRESS サ イ ド バン ド 応答を生成する 必要があ り ます。 LINK_ADDRESS 応答
に基づいて ソ ース はシ ン ク の ト ポ ロ ジ を識別 し ます。
た と えば、 シ ン ク コ アが 4 MST ス ト リ ームに設定 さ れてい る 場合、 DisplayPort TX か ら マルチ ス ト リ ーム入力を受信
し 、独立 し た 4 つの ス ト リ ーム を ネ イ テ ィ ブな ビデオ フ ォーマ ッ ト で出力 し ます。こ の場合、LINK_ADDRESS_REPLY
は 1 入力 4 出力の論理ポー ト を含む よ う にモデ リ ン グで き 、 DisplayPort デバ イ ス プ ラ グ ス テー タ ス を 1、 ピ ア デバ
イ ス タ イ プ を 3 に設定 し ます。
ソ ース コ ア イ ン タ ー フ ェ イ ス
こ のセ ク シ ョ ンでは、 ソ ース コ ア イ ン タ ーフ ェ イ ス について説明 し ます。
ユーザー デー タ イ ン タ ー フ ェ イ ス
ユーザー イ メ ージ デー タ のプ ラ イ マ リ イ ン タ ーフ ェ イ ス は、デ ィ ス プ レ イ タ イ ミ ン グ コ ン ト ロ ー ラ ー信号の業界標
準モデルに基づいてい ます。 ポー ト リ ス ト は、 垂直/水平同期パル スお よ びデー タ Valid 信号で符号化 し た ビデオ タ
イ ミ ン グ情報で構成 さ れます。 こ れ ら の 1 ビ ッ ト 制御 ラ イ ンで有効デー タ を フ レー ミ ン グ し 、 ス ト リ ー ミ ン グ ビデオ
の フ ロ ー制御を行い ます。
垂直 タ イ ミ ン グは、 フ レーム N-1 の終了 と フ レーム N の開始を示す垂直同期パルス を使用 し て フ レー ミ ン グ し ます。
垂直同期パル ス の終わ り か ら 有効ピ ク セル デー タ を含む最初の ラ イ ン ま での水平同期パル ス数を垂直バ ッ ク ポーチ
と 呼び ま す。 有効ピ ク セル デー タ の最後の ラ イ ン か ら 垂直同期パル ス開始 ま での水平同期パル ス数を垂直フ ロ ン ト
ポーチ と 呼びます。 垂直バ ッ ク ポーチ と 垂直同期パルス幅を合わせた期間を垂直ブ ラ ン キ ン グ期間 と 呼びます。
垂直同期パルス の立ち下が り エ ッ ジ ご と に、 ユーザー デー タ イ ン タ ーフ ェ イ ス は イ メ ージ デー タ パ ス の主要な要素
を リ セ ッ ト し ま す。 こ れに よ り 、 1 垂直期間以内の イ ン タ ーフ ェ イ ス エ ラ で あれば リ カバ リ が可能な、 堅牢なユー
ザー イ ン タ ーフ ェ イ ス が実現 し てい ます。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
86
第 3 章 : コ ア を使用するデザイ ン
図 3-16 に、 1 フ レーム全体のデー タ の代表的な信号波形を示 し ます。
X-Ref Target - Figure 3-16
Vertical Sync
Width
Vertical Sync
Horizontal Sync
Vertical
Back Porch
Vertical Resolution
Vertical Front
Porch
Data Valid
UG696_2-2_101509
図 3‐16 : ユーザー イ ン タ ー フ ェ イ スの垂直 タ イ ミ ング
同様に、 水平 タ イ ミ ン グ情報はフ ロ ン ト ポーチ、 バ ッ ク ポーチ、 パル ス幅に よ っ て定義 さ れ ます。 こ れ ら ポーチの
値は、 水平同期パルス と 有効デー タ 開始ま たは終了の間の ク ロ ッ ク 数 と し て定義 さ れます。 図 3-17 に示す よ う に、 ピ
ク セル デー タ は、 デー タ Valid 信号がア ク テ ィ ブ (High) の場合のみ イ メ ージ デー タ イ ン タ ーフ ェ イ ス に取 り 込まれ
ます。
1 本の走査線の間、 デー タ Valid 信号はアサー ト さ れた ま ま にす る 必要があ り ま す。 こ の期間に Valid 信号がデ ィ ア
サー ト す る と 正 し い動作にな り ません。
X-Ref Target - Figure 3-17
Horizontal Sync
Horizontal
Back Porch
Horizontal Resolution
Horiz Front
Porch
Data Valid
UG696_2-3_101509
図 3‐17 : ユーザー イ ン タ ー フ ェ イ スの水平 タ イ ミ ン グ
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
87
第 3 章 : コ ア を使用するデザイ ン
2 次元の平面 イ メ ージにおいて、こ れ ら の制御信号は全体の フ レーム サ イ ズの内側に有効ピ ク セル デー タ の矩形領域
を フ レー ミ ン グ し ます。 図 3-18 に、 全体の フ レーム サ イ ズ と 有効フ レーム サ イ ズの関係を示 し ます。
X-Ref Target - Figure 3-18
Active Image
UG696_2-4_100909
図 3‐18 : 有効イ メ ージ デー タ
ユーザー デー タ イ ン タ ーフ ェ イ ス は 1 ク ロ ッ ク サ イ ク ルあ た り 1、2、ま たは 4 ピ ク セルを取 り 込む こ と がで き ます。
使用す る ビ ッ ト 数にかかわ ら ず、 vid_pixel の幅は常に 48 ビ ッ ト です。 ピ ク セル マ ッ ピ ン グで使用する ビ ッ ト 数が 48
ビ ッ ト 未満の場合、 こ の コ アでは最上位ビ ッ ト か ら 順に使用 し 、 余っ た下位ビ ッ ト は未接続の ま ま にす る か、 0 に駆
動 し ます。 表 3-4 に、 サポー ト さ れ る すべてのデー タ フ ォーマ ッ ト のマ ッ ピ ン グ方法を示 し ます。
表 3‐4 : ユーザー デー タ イ ン タ ー フ ェ イ スのピ ク セル マ ッ ピ ング
フ ォ ーマ ッ ト
BPC/BPP
R
G
B
Cr
Y
Cb
Cr/Cb
Y
RGB
6/18
[47:42]
[31:26]
[15:10]
RGB
8/24
[47:40]
[31:24]
[15:8]
RGB
10/30
[47:38]
[31:22]
[15:6]
RGB
12/36
[47:36]
[31:20]
[15:4]
RGB
16/48
[47:32]
[31:16]
[15:0]
YCrCb444
6/18
[47:42]
[31:26]
[15:10]
YCrCb444
8/24
[47:40]
[31:24]
[15:8]
YCrCb444
10/30
[47:38]
[31:22]
[15:6]
YCrCb444
12/36
[47:36]
[31:20]
[15:4]
YCrCb444
16/48
[47:32]
[31:16]
[15:0]
YCrCb422
8/16
[47:40]
[31:24]
YCrCb422
10/20
[47:38]
[31:22]
YCrCb422
12/24
[47:36]
[31:20]
YCrCb422
16/32
[47:32]
[31:16]
YONLY
8/8
[47:40]
YONLY
10/10
[47:38]
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
88
第 3 章 : コ ア を使用するデザイ ン
表 3‐4 : ユーザー デー タ イ ン タ ー フ ェ イ スのピ ク セル マ ッ ピ ング (続き)
フ ォ ーマ ッ ト
BPC/BPP
R
G
B
Cr
Y
Cb
Cr/Cb
Y
YONLY
12/12
[47:36]
YONLY
16/16
[47:32]
注記 :
YCrCb 4:2:2 の場合、 入力は YCr、 YCb、 YCr、 YCb、 … の順にな り ます。 つま り 、 Cr と Cb は ソ ース コ アの ビデオ入力ポー ト
で同 じ ビ ッ ト にマ ッ ピ ン グ さ れます。
ピ ク セル イ ン タ ー フ ェ イ スの選択
ピ ク セル ク ロ ッ ク は最大 150MHz ま でサポー ト さ れ ます。 こ れを超え る 周波数では、 タ イ ミ ン グ を満たすのが非常
に困難です。 ただ し 、 シ ン グル、 デ ュ アル、 ま たは ク ワ ッ ド ピ ク セル ビデオ イ ン タ ーフ ェ イ ス を選択で き ます。 詳
細は、 「 ク ロ ッ キ ン グ」 を参照 し て く だ さ い。
特定の解像度をサポー ト す る のに必要な ピ ク セル イ ン タ ーフ ェ イ ス は、有効解像度 と ブ ラ ン キ ン グ情報か ら 求め る こ
と がで き ます。
次に例を示 し ます。
有効解像度 2560x1600@60 をサポー ト す る ブ ラ ン キ ン グ フ ォーマ ッ ト と し て、VESA 規格では通常のブ ラ ン キ ン グ と
リ デ ュ ース ド ブ ラ ン キ ン グ (RB) の 2 種類が定義 さ れてい ます。
2560x1600@60 + ブ ラ ン キ ン グ = 3504x1658@60
必要な ピ ク セル ク ロ ッ ク は 348.58MHz
2560x1600@60 + リ デ ュ ース ド ブ ラ ン キ ン グ = 2720x1646@60
必要な ピ ク セル ク ロ ッ ク は 268.63MHz
ピ ク セル ク ロ ッ ク が 150MHz でデ ュ アル ピ ク セル イ ン タ ーフ ェ イ ス の場合は、 次の と お り です。
2560x1600@60 + ブ ラ ン キ ン グ = 3504x1658@60 = 348.58MHz
348.58MHz / 2 = 172.28MHz
2560x1600@60 + リ デ ュ ース ド ブ ラ ン キ ン グ = 2720x1646@60 = 268.63MHz
268.63MHz / 2 = 134.31MHz
デ ュ アル ピ ク セル イ ン タ ーフ ェ イ ス の場合、 DisplayPort IP で 2560x1600 の解像度をサポー ト す る には、 リ デ ュ ース
ド ブ ラ ン キ ン グ入力が必要です。 完全なブ ラ ン キ ン グ をサポー ト す る には、 ク ワ ッ ド ピ ク セル イ ン タ ーフ ェ イ ス を
使用す る 必要があ り ます。
図 3-19、 図 3-20、 図 3-21 に 3 種類の ピ ク セル イ ン タ ーフ ェ イ ス オプシ ョ ンの タ イ ミ ン グ図を示 し ます。
X-Ref Target - Figure 3-19
Pixel Clock
Vsync
Hsync
ata Enable
Pixel0
Pixel0 Pixel1 Pixel2 Pixel3
図 3‐19 : シ ングル ピ ク セル タ イ ミ ング
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
89
第 3 章 : コ ア を使用するデザイ ン
X-Ref Target - Figure 3-20
Pixel Clock (Freq/4)
Vsync
Hsync
Data Enable
Pixel0
Pixel1
Pixel0
Pixel2
Pixel1 Pixel3
図 3‐20 : デ ュ アル ピ ク セル タ イ ミ ング
X-Ref Target - Figure 3-21
Pixel Clock (Freq/4)
Vsync
Hsync
Data Enable
Pixel0 Pixel1
Pixel0
Pixel1
Pixel2
Pixel3
Pixel3 Pixel2
図 3‐21 : ク ワ ッ ド ピ ク セル タ イ ミ ン グ
ホス ト プ ロ セ ッ サ イ ン タ ー フ ェ イ ス
ホ ス ト プ ロ セ ッ サ バ ス には、 シ ン プルであ る こ と か ら AMBA AXI4-Lite イ ン タ ーフ ェ イ ス が採用 さ れてい ます。 こ
のプ ロ セ ッ サ バ ス では、 コ ン フ ィ ギ ュ レーシ ョ ン空間に対 し て単一の読み出 し と 書き 込みを実行で き ます。 ア ド レ ス
マ ッ ピ ン グの詳細は、 第 2 章の 「 ソ ース コ ア」 を参照 し て く だ さ い。
ホ ス ト プ ロ セ ッ サ イ ン タ ーフ ェ イ スは、 メ イ ン リ ン ク の初期化お よ び維持のためのゲー ト ウ ェ イ と し ての働 き も あ
り ます。 こ れには、 EDID お よ び DPCD 読み出 し を含む リ ン ク お よ びデバ イ ス サービ ス を利用 し ます。 メ イ ン リ ン
ク の始動は リ ン ク ト レーニ ン グ シーケ ン ス で終了 し ます。 こ の リ ン ク ト レーニ ン グ シーケ ン ス も こ の イ ン タ ーフ ェ
イ ス経由で開始 し ます。 始動シーケ ン ス の詳細は、 「 リ ン ク ト レーニ ン グ」 お よ び 『VESA DisplayPort Standard v1.1』
[参照 2] を参照 し て く だ さ い。
こ の コ アにはサンプル デザ イ ンのポ リ シー メ ーカーが C ソ ース コ ー ド で付属 し てい ます。 コ アの制御ま たは調整に
関 し て特別な必要性がなければ、 こ の ソ ース コ ー ド の使用が適 し てい ます。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
90
第 3 章 : コ ア を使用するデザイ ン
AXI4‐Lite 読み出 し および書き込みサイ クル
X-Ref Target - Figure 3-22
682D76B0
DS759_02
図 3‐22 : AXI4‐Lite 読み出 し および書き込みサイ クル
AXI4-Lite 書 き 込み転送は、 ク ロ ッ ク の最初の立ち上が り エ ッ ジでア ド レ ス、 書 き 込み信号、 書 き 込みデー タ を適切
な値に設定す る と 開始 し ます。 転送の最初の ク ロ ッ ク サ イ ク ルはセ ッ ト ア ッ プ サ イ ク ル と 呼ばれ ます。 ク ロ ッ ク の
2 番目の立ち上が り エ ッ ジで イ ネーブル信号がアサー ト さ れます。こ の ク ロ ッ ク サ イ ク ルを イ ネーブル サ イ ク ル と 呼
びます。 セ ッ ト ア ッ プ サ イ ク ル と イ ネーブル サ イ ク ルの ど ち ら も 、 ア ド レ ス、 デー タ 、 制御信号はすべて有効な状
態を維持 し ます。 ク ロ ッ ク の次の立ち上が り エ ッ ジで転送が完了 し ます (図 3-22 参照)。
AXI4-Lite 読み出 し 転送は、 ク ロ ッ ク の最初の立ち上が り エ ッ ジでア ド レ ス と 制御信号を適切な値に設定す る と 開始
し ます (セ ッ ト ア ッ プ サ イ ク ル)。 書 き 込み転送 と 同様、 次の立ち上が り エ ッ ジで イ ネーブル信号がアサー ト さ れ、 イ
ネーブル サ イ ク ルが開始 し ます。ス レーブ ペ リ フ ェ ラ ルは こ のサ イ ク ルの間にデー タ を供給す る 必要があ り ます。読
み出 し デー タ は ク ロ ッ ク の次の立ち上が り エ ッ ジでサンプル さ れ、 こ れで イ ネーブル サ イ ク ルが終了 し ます。図 3-22
に、 こ の転送を示 し ます。
ト ラ ン シーバー イ ン タ ー フ ェ イ ス
ト ラ ン シーバーは コ アの外部に引 き 出 さ れてお り 、 最上位 ラ ッ パーの イ ン ス タ ン ス と し て提供 さ れてい ます。 最大 4
つの高速レーン を選択で き ます。 選択 し た レーン数にかかわ ら ず、 ネ ゴ シエーシ ョ ン プ ロ セ ス はポ リ シー メ ーカー
が処理 し 、 使用す る レーン数が少な く な る よ う に設定 し ます。 ま た、 こ の コ アは 5.4Gbps、 2.7Gbps、 1.62Gbps の動作
をサポー ト し てい ます。 実際の ラ イ ン レー ト も こ のネ ゴ シエーシ ョ ン プ ロ セ ス に よ っ て決定 し ます。
lnk_clk_p/n ポ ー ト に は ユーザーが適切 な 基準 ク ロ ッ ク を 供給す る 必要が あ り ま す。 こ れ ら の ポ ー ト は、 適切 な
MGTREFCLK ピ ンに物理的に配置 し てお く 必要があ り ます。 ま た、 lnk_tx_lane ポー ト も 適切な ピ ンに物理的に配
置 し てお く 必要があ り ます。 適切な配置場所を見つけ る 方法については、 使用す る FPGA フ ァ ミ リ の ト ラ ン シーバー
ユーザー ガ イ ド ( 「参考資料」 ) を参照 し て く だ さ い。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
91
第 3 章 : コ ア を使用するデザイ ン
7 シ リ ーズ FPGA の場合、 1.62、 2.7、 5.4Gbps のいずれの リ ン ク レー ト の場合 も 135MHz (27MHz の高調波) の共通基
準 ク ロ ッ ク が必要です。 詳細は、 「 ク ロ ッ キ ン グ」 を参照 し て く だ さ い。
ト ラ ン シーバーは、 最適な通信が行え る よ う に調整 さ れてい ます。 ト ラ ン シーバーの調整に関する 制約は、 RTL イ ン
ス タ ン ス に直接配置 さ れてい ます。 こ れ ら の値を確認す る こ と で、 機能を完全に把握で き ます。
AUX チ ャ ネル イ ン タ ー フ ェ イ ス/HPD イ ン タ ー フ ェ イ ス
AUX チ ャ ネルは、 ソ ー ス デバ イ ス と シ ン ク デバ イ ス間の リ ン ク お よ びデバ イ ス通信に使用 し ます。 AUX チ ャ ネル
はマ ンチ ェ ス タ ー II 符号化を使用 し 、 1MHz (ま たは 1MHz の整数倍) の ク ロ ッ ク ソ ース が必要です。 AUX チ ャ ネル
ロ ジ ッ ク の内部動作は、 AXI4-Lite ク ロ ッ ク で動作 し ます。 バ ス イ ン タ ーフ ェ イ ス ク ロ ッ ク を こ の よ う に使用 し てい
る ため、 AXI4-Lite ク ロ ッ ク 周波数を 1MHz の整数倍にする 必要があ り ます。
AUX チ ャ ネル イ ン タ ーフ ェ イ ス/HPD イ ン タ ーフ ェ イ ス の I/O 規格の詳細は、 第 4 章の 「コ アへの制約」 を参照 し て
く だ さ い。
オーデ ィ オ イ ン タ ー フ ェ イ ス
S/PDIF 入力は S/PDIF レ シーバーに よ っ てサンプ リ ン グ さ れ、 オーデ ィ オ サンプルは AXI4-Stream イ ン タ ーフ ェ イ ス
経由で Displayport オーデ ィ オ エン ジ ンへ転送 さ れます。
デバ ッ グ イ ン タ ー フ ェ イ ス
デバ ッ グ イ ン タ ーフ ェ イ ス には リ ン ク GT 信号、 リ ン ク 制御信号、 AUX デバ ッ グ信号が含ま れます。 リ ン ク 関連の
信号は、 TX PHY フ ァ イ ルの <component_name>_tx_phy.v か ら 取得 し ます。
リ ン ク GT 信号 (lnk_debug_gt*)
表 3-9 に、 リ ン ク GT 信号を示 し ます。
表 3‐5 : リ ン ク GT 信号
位置
信号名
15:0
lnk_tx_lane*_data
17:16
lnk_tx_lane*_k_char
21:18
lnk_tx_lane*_override_disparity
26:22
i_tx_postcursor_lane_*
31:27
i_tx_precursor_lane_*
35:32
i_tx_voltage_swing_lane_*
37:36
i_tx_buffer_status_lane_*
38
予約
39
i_pll_lock_detect_tile_*
45:40
DisplayPort v5.0
PG064 2014 年 11 月 19 日
予約
japan.xilinx.com
92
第 3 章 : コ ア を使用するデザイ ン
LINK 制御信号 (lnk_debug_control)
表 3-10 に、 リ ン ク 制御信号を示 し ます。
表 3‐6 : リ ン ク 制御信号
位置
信号名
0
i_phy_reset
1
i_tx_phy_reset
2
i_tx_phy_reset_2
4:3
i_reset_done_tile0
6:5
i_reset_done_tile0
7
link_bw_high
8
link_bw_hbr2
9
link_bw_rbr
14:10
i_drp_state
15
i_drp_enable
16
i_drp_write
32:17
i_drp_read_data
48:33
i_drp_write_data
49
i_drp_ready00
50
i_drp_ready01
51
i_drp_ready10
52
i_drp_ready11
60:53
i_drp_addr
63:61
i_tx_enable_prbs7
67:64
i_tx_power_down
71:68
i_tx_pma_reset_done_out
72
pll1_lock_in (GTP) / gt0_qpllclk_lock (GTX/GTH)
95:73
予約
AUX デバ ッ グ信号
表 3-11 に、 AUX デバ ッ グ信号を示 し ます。
表 3‐7 : AUX デバ ッ グ信号
位置
DisplayPort v5.0
PG064 2014 年 11 月 19 日
信号名
0
aux_data_in
1
aux_data_out
2
aux_data_enable_n
3
hot_plug_detect
japan.xilinx.com
93
第 3 章 : コ ア を使用するデザイ ン
シ ン ク コ ア イ ン タ ー フ ェ イ ス
こ のセ ク シ ョ ンでは、 シ ン ク コ ア イ ン タ ーフ ェ イ ス について説明 し ます。
ユーザー デー タ イ ン タ ー フ ェ イ ス
ユーザー イ メ ージ デー タ のプ ラ イ マ リ イ ン タ ーフ ェ イ ス は、デ ィ ス プ レ イ タ イ ミ ン グ コ ン ト ロ ー ラ ー信号の業界標
準モデルに基づいてい ます。 ポー ト リ ス ト は、 垂直/水平同期パル スお よ びデー タ Valid 信号で符号化 し た ビデオ タ
イ ミ ン グ情報で構成 さ れます。 こ れ ら の 1 ビ ッ ト 制御 ラ イ ンで有効デー タ を フ レー ミ ン グ し 、 ス ト リ ー ミ ン グ ビデオ
の フ ロ ー制御を行い ます。
垂直 タ イ ミ ン グは、 フ レーム N-1 の終了 と フ レーム N の開始を示す垂直同期パルス を使用 し て フ レー ミ ン グ し ます。
垂直同期パル ス の終わ り か ら 有効ピ ク セル デー タ を含む最初の ラ イ ン ま での水平同期パル ス数を垂直バ ッ ク ポーチ
と 呼び ま す。 有効ピ ク セル デー タ の最後の ラ イ ン か ら 垂直同期パル ス開始 ま での水平同期パル ス数を垂直フ ロ ン ト
ポーチ と 呼びます。 垂直バ ッ ク ポーチ と 垂直同期パルス幅を合わせた期間を垂直ブ ラ ン キ ン グ期間 と 呼びます。
垂直同期パルス の立ち下が り エ ッ ジ ご と に、 ユーザー デー タ イ ン タ ーフ ェ イ ス は イ メ ージ デー タ パ ス の主要な要素
を リ セ ッ ト し ます。 こ れに よ り 、 1 垂直期間以内の イ ン タ ーフ ェ イ ス エ ラ ーであれば リ カバ リ が可能な、 堅牢なユー
ザー イ ン タ ーフ ェ イ ス が実現 し てい ます。
ユーザーは、 ス ト リ ーム か ら 求めた M お よ び N 値を使用 し て ク ロ ッ ク を生成す る か、 十分に高速な ク ロ ッ ク を使用
し てデー タ を ラ イ ン バ ッ フ ァ ーに送 る か を選択で き ます。 M お よ び N 値の ソ ース が信頼で き る 場合を除 き 、 高速 ク
ロ ッ ク を使用 し て こ れ ら の値を無視す る こ と を推奨 し ます。 ソ ース コ ア と は異な り 、 高速 ク ロ ッ ク を使用す る 場合は
1 本の走査線の途中でデー タ Valid 信号が ト グル し て も か ま い ません。 図 3-23 に、 1 フ レーム全体のデー タ 一般的な
信号波形を示 し ます。
X-Ref Target - Figure 3-23
Vertical Sync
Width
Vertical Sync
Horizontal Sync
Vertical
Back Porch
Vertical Resolution
Vertical Front
Porch
Data Valid
UG697_2-2_100909
図 3‐23 : ユーザー イ ン タ ー フ ェ イ スの垂直 タ イ ミ ング
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
94
第 3 章 : コ ア を使用するデザイ ン
水平 タ イ ミ ン グ情報はフ ロ ン ト ポーチ、 バ ッ ク ポーチ、 パル ス幅に よ っ て定義 さ れ ます。 こ れ ら ポーチの値は、 水
平同期パル ス と 有効デー タ 開始ま たは終了の間の ク ロ ッ ク 数 と し て定義 さ れ ます。 ピ ク セル デー タ は、 デー タ Valid
信号がア ク テ ィ ブ (High) の場合のみ イ メ ージ デー タ イ ン タ ーフ ェ イ ス に取 り 込ま れます。 図 3-24 は、 図 3-23 を拡
大 し て 1 本の走査線を詳細に示 し た も のです。 水平同期パルスは、 ラ イ ン を進め る 信号 と し て使用 し ます。 こ の信号
の立ち上が り エ ッ ジ を使用 し て ラ イ ン カ ウ ン ト を イ ン ク リ メ ン ト し ます。 高速 ク ロ ッ ク を使用す る 場合は、 デー タ
Valid 信号が ト グル し て も か ま い ません。
X-Ref Target - Figure 3-24
Horizontal Sync
Horizontal
Back Porch
Horizontal Resolution
Horiz Front
Porch
Data Valid
UG697_2-3_100909
図 3‐24 : ユーザー イ ン タ ー フ ェ イ スの水平 タ イ ミ ング
2 次元の平面 イ メ ージにおいて、こ れ ら の制御信号は全体の フ レーム サ イ ズの内側に有効ピ ク セル デー タ の矩形領域
を フ レー ミ ン グ し ます。 図 3-25 に、 全体の フ レーム サ イ ズ と 有効フ レーム サ イ ズの関係を示 し ます。
X-Ref Target - Figure 3-25
Active Image
UG697_2-4_100909
図 3‐25 : 有効イ メ ージ デー タ
ユーザー デー タ イ ン タ ーフ ェ イ ス は 1 ク ロ ッ ク サ イ ク ルあ た り 1、2、ま たは 4 ピ ク セルを取 り 込む こ と がで き ます。
2 番目の ピ ク セルは、 USER_PIXEL_WIDTH がセ ッ ト さ れてお り 、 かつネ ゴ シエーシ ョ ンに よ っ て決定 し た レーン数
が 1 よ り 大 き い場合のみア ク テ ィ ブです。USER_PIXEL_WIDTH の値の正 し い設定方法の詳細は、「ピ ク セル イ ン タ ー
フ ェ イ ス の選択」 を参照 し て く だ さ い。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
95
第 3 章 : コ ア を使用するデザイ ン
使用す る ビ ッ ト 数にかかわ ら ず、 vid_pixel の幅は常に 48 ビ ッ ト です。 ピ ク セル マ ッ ピ ン グで使用する ビ ッ ト 数が 48
ビ ッ ト 未満の場合、 こ の コ アでは最上位ビ ッ ト か ら 順に使用 し 、 余っ た下位ビ ッ ト は未接続の ま ま にす る か、 0 に駆
動 し ます。 表 3-8 に、 サポー ト さ れ る すべてのデー タ フ ォーマ ッ ト のマ ッ ピ ン グ方法を示 し ます。
表 3‐8 : ユーザー デー タ イ ン タ ー フ ェ イ スのピ ク セル マ ッ ピ ング
フ ォ ーマ ッ ト
BPC/BPP
R
G
B
Cr
Y
Cb
Cr/Cb
Y
RGB
6/18
[47:42]
[31:26]
[15:10]
RGB
8/24
[47:40]
[31:24]
[15:8]
RGB
10/30
[47:38]
[31:22]
[15:6]
RGB
12/36
[47:36]
[31:20]
[15:4]
RGB
16/48
[47:32]
[31:16]
[15:0]
YCrCb444
6/18
[47:42]
[31:26]
[15:10]
YCrCb444
8/24
[47:40]
[31:24]
[15:8]
YCrCb444
10/30
[47:38]
[31:22]
[15:6]
YCrCb444
12/36
[47:36]
[31:20]
[15:4]
YCrCb444
16/48
[47:32]
[31:16]
[15:0]
YCrCb422
8/16
[47:40]
[31:24]
YCrCb422
10/20
[47:38]
[31:22]
YCrCb422
12/24
[47:36]
[31:20]
YCrCb422
16/32
[47:32]
[31:16]
YONLY
8/8
[47:40]
YONLY
10/10
[47:38]
YONLY
12/12
[47:36]
YONLY
16/16
[47:32]
注記 :
YCrCb 4:2:2 の場合、 出力ピ ク セルは YCr、 YCb、 YCr、 YCb、 … の順にな り ます。 つま り 、 Cr と Cb はシ ン ク コ アの ビデオ出
力ポー ト で同 じ ビ ッ ト にマ ッ ピ ン グ さ れます。
こ のデザ イ ンでは、 よ り 高速な ピ ク セル ク ロ ッ ク を使用で き ます。 図 3-26 に、 こ の場合の イ ン タ ーフ ェ イ ス タ イ ミ
ン グ を示 し ます。
X-Ref Target - Figure 3-26
Pixel Clock (>Pixel Freq)
Vsync
Hsync
Data Enable
Pixel0
Pixel1
Pixel2
Pixel3
図 3‐26 : RX ピ ク セル タ イ ミ ング
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
96
第 3 章 : コ ア を使用するデザイ ン
ホス ト プ ロ セ ッ サ イ ン タ ー フ ェ イ ス
ホ ス ト プ ロ セ ッ サ バ ス には、 シ ンプルであ る こ と か ら AXI4-Lite イ ン タ ーフ ェ イ ス を採用 し てい ます。 こ のプ ロ セ ッ
サ バ ス では、 コ ン フ ィ ギ ュ レーシ ョ ン空間に対 し て単一の読み出 し と 書 き 込みを実行で き ます。 ア ド レ ス マ ッ ピ ン
グの詳細は、 第 2 章 「レ ジ ス タ 空間」 を参照 し て く だ さ い。
シ ン ク コ アのホ ス ト プ ロ セ ッ サ イ ン タ ーフ ェ イ ス を使用 し て コ ア を有効化 し 、 セ ッ ト ア ッ プ し ます。 こ の イ ン タ ー
フ ェ イ ス は、 ト レーニ ン グの ス テー タ ス チ ェ ッ ク に も 使用で き ます。
AXI4‐Lite 読み出 し および書き込みサイ クル
X-Ref Target - Figure 3-27
682D76B0
DS759_02
図 3‐27 : AXI4‐Lite 読み出 し および書き込みサイ クル
AXI4-Lite 書 き 込み転送は、 ク ロ ッ ク の最初の立ち上が り エ ッ ジでア ド レ ス、 書 き 込み信号、 書 き 込みデー タ を適切
な値に設定す る と 開始 し ます。 転送の最初の ク ロ ッ ク サ イ ク ルはセ ッ ト ア ッ プ サ イ ク ル と 呼ばれ ます。 ク ロ ッ ク の
2 番目の立ち上が り エ ッ ジで イ ネーブル信号がアサー ト さ れます。こ の ク ロ ッ ク サ イ ク ルを イ ネーブル サ イ ク ル と 呼
びます。 セ ッ ト ア ッ プ サ イ ク ル と イ ネーブル サ イ ク ルの ど ち ら も 、 ア ド レ ス、 デー タ 、 制御信号はすべて有効な状
態を維持 し ます。 ク ロ ッ ク の次の立ち上が り エ ッ ジで転送が完了 し ます (図 3-27 参照)。
AXI4-Lite 読み出 し 転送は、 ク ロ ッ ク の最初の立ち上が り エ ッ ジでア ド レ ス と 制御信号を適切な値に設定す る と 開始
し ます (セ ッ ト ア ッ プ サ イ ク ル)。 書 き 込み転送 と 同様、 次の立ち上が り エ ッ ジで イ ネーブル信号がアサー ト さ れ、 イ
ネーブル サ イ ク ルが開始 し ます。ス レーブ ペ リ フ ェ ラ ルは こ のサ イ ク ルの間にデー タ を供給す る 必要があ り ます。読
み出 し デー タ は ク ロ ッ ク の次の立ち上が り エ ッ ジでサンプル さ れ、 こ れで イ ネーブル サ イ ク ルが終了 し ます。図 3-27
に、 こ の転送を示 し ます。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
97
第 3 章 : コ ア を使用するデザイ ン
ト ラ ン シーバー イ ン タ ー フ ェ イ ス
ト ラ ン シーバーは コ アの外部に引 き 出 さ れてお り 、 最上位 ラ ッ パーの イ ン ス タ ン ス と し て提供 さ れてい ます。 最大 4
つの高速レーン を選択で き ます。 選択 し た レーン数にかかわ ら ず、 コ アは自動的にネ ゴ シエーシ ョ ン プ ロ セ ス を処理
し 、使用す る レーン数が少な く な る よ う に設定 し ます。実際の ラ イ ン レー ト も こ のネ ゴ シエーシ ョ ン プ ロ セ ス に よ っ
て決定 し ます。
lnk_clk_p/n ポー ト にはユーザーが適切な基準 ク ロ ッ ク を供給す る 必要が あ り ま す。 こ れ ら のポー ト は、 適切な
MGTREFCLK ピ ンに物理的に配置 し てお く 必要があ り ます。 ま た、 lnk_tx_lane ポー ト も 適切な ピ ンに物理的に配
置 し てお く 必要があ り ます。適切な配置場所の判断方法については、使用する FPGA フ ァ ミ リ の ト ラ ン シーバー ユー
ザー ガ イ ド ( 「参考資料」 ) を参照 し て く だ さ い。
7 シ リ ーズ FPGA の場合、 1.62、 2.7、 5.4Gbps のいずれの リ ン ク レー ト の場合 も 135MHz の共通基準 ク ロ ッ ク が必要
です。 詳細は、 「 ク ロ ッ キ ン グ」 を参照 し て く だ さ い。
ト ラ ン シーバーは、 最適な通信が行え る よ う に調整 さ れてい ます。 ト ラ ン シーバーの調整に関する 制約は、 RTL イ ン
ス タ ン ス に直接配置 さ れてい ます。 こ れ ら の値を確認す る こ と で、 機能を完全に把握で き ます。
AUX チ ャ ネル イ ン タ ー フ ェ イ ス/HPD イ ン タ ー フ ェ イ ス
AUX チ ャ ネル サービ スは、 物理層にあ る専用の差動ペアに よ っ て提供 さ れます。 データ は 1Mbps の周波数で動作 し 、
すべてのデータ がマンチ ェ ス ター II で符号化 さ れます。AUX チ ャ ネルは機能的に独立し てい る ため、DPCD (DisplayPort
Configuration Data) を除いて メ イ ン リ ン ク か ら 独立し たデザ イ ンが可能です。DPCD レ ジ ス タ はすべて リ ン ク ク ロ ッ ク
に対 し て非同期 と 見な さ れます。 必要であれば、 同期ス テージ を使用 し て メ イ ン リ ン ク デザ イ ンのデータ を正し く サ
ンプ リ ン グで き ます。
AUX チ ャ ネル ロ ジ ッ ク の内部動作は、AXI4-Lite ク ロ ッ ク で動作 し ます。 ま た、AXI4-Lite ク ロ ッ ク はマ ンチ ェ ス タ ー
II で符号化 さ れた送信お よ び応答デー タ のデー タ レー ト を生成する 目的で も 使用 し ます。 バ ス イ ン タ ーフ ェ イ ス ク
ロ ッ ク を こ の よ う に使用 し てい る ため、 AXI4-Lite ク ロ ッ ク 周波数を 1MHz の整数倍にす る 必要があ り ます。 こ の制
限は、 1Mbps の周波数でマ ンチ ェ ス タ ー II 符号を生成する ために必要です。
こ れ ら ポー ト の I/O 規格については、 第 4 章の 「 コ アへの制約」 を参照 し て く だ さ い。
DisplayPort Configuration Data
DPCD (DisplayPort Configuration Data) はレ ジ ス タ の集合 と し て実装 さ れてお り 、AXI4-Lite イ ン タ ーフ ェ イ ス か ら の読
み書 き が 可能 で す。 こ れ ら の レ ジ ス タ は厳密 に は AUX チ ャ ネ ル イ ン タ ー フ ェ イ ス の 一部 で は あ り ま せ ん が、
AXI4-Lite バ ス イ ン タ ーフ ェ イ ス経由でア ク セ スす る ため、 こ こ に含めてい ます。 こ れ ら の レ ジ ス タ は AXI4-Lite ド
メ イ ンに同期 し 、 それ以外の ド メ イ ンには非同期 と 見な さ れます。
コ ン フ ィ ギ ュ レーシ ョ ン空間か ら パ ラ メ ー タ ーを読み出 し 中にパ ラ メ ー タ ーが変化す る ケース と し て、 次の 2 通 り が
考え ら れます。 1 ビ ッ ト のパ ラ メ ー タ ーの場合、 変更前ま たは変更後の値の ど ち ら かが有効なデー タ と し て読み出 さ
れ ます。 複数ビ ッ ト フ ィ ール ド のパ ラ メ ー タ ーの場合、 ロ ッ ク ビ ッ ト を使用 し て読み出 し 実行中に ス テー タ ス値が
更新 さ れない よ う に し ます。 複数ビ ッ ト の コ ン フ ィ ギ ュ レーシ ョ ン デー タ の場合、 機能 コ アの ロ ーカル値の更新が必
要か ど う か を ト グル ビ ッ ト で示 し ます。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
98
第 3 章 : コ ア を使用するデザイ ン
I2C イ ン タ ー フ ェ イ ス
注記 : こ の イ ン タ ーフ ェ イ ス はパ ス スルー イ ン タ ーフ ェ イ ス です。コ ン ト ロ ー ラ ーを コ アの外部に作成す る こ と を想
定 し てい ます。詳細は、コ アに付属のサンプル デザ イ ン を参照 し て く だ さ い (第 5 章「サンプル デザ イ ンの詳細」参照)。
ソ ース コ アは AUX チ ャ ネル上での I2C プ ロ ト コ ル (I2C-over-AUX) を有効に し ます。 I2C 経由で直接ア ク セ スす る 場
合、 お よ び ホ ス ト プ ロ セ ッ サ バ ス の代わ り に使用す る 場合は、 こ の専用 イ ン タ ー フ ェ イ ス を 使用 し て く だ さ い。
図 3-28 に、 I2C ト ラ ンザ ク シ ョ ンの例を示 し ます。
X-Ref Target - Figure 3-28
SDA
SCL
Start
Condition
1-7
R/W
Ack
8
9
Address
Ack
1-8
Data
Stop
Condition
UG696_2-8_101509
図 3‐28 : I2C ト ラ ンザク シ ョ ン
オーデ ィ オ イ ン タ ー フ ェ イ ス
オーデ ィ オは DisplayPort リ ン ク か ら 受信 し 、 AXI4-Stream イ ン タ ーフ ェ イ ス を使用 し て S/PDIF コ ン ト ロ ー ラ ーへ転
送 さ れます。 オーデ ィ オ デー タ は S/PDIF フ ォーマ ッ ト に変換 さ れた後、 必要なオーデ ィ オ レー ト で送信 さ れます。
デバ ッ グ イ ン タ ー フ ェ イ ス
デバ ッ グ イ ン タ ーフ ェ イ ス には リ ン ク GT 信号、 リ ン ク 制御信号、 AUX デバ ッ グ信号が含ま れます。 リ ン ク 関連の
信号は、 RX PHY フ ァ イ ルの <component_name>_rx_phy.v か ら 取得 し ます。
リ ン ク GT 信号 (lnk_debug_gt*)
表 3-9 に、 リ ン ク GT 信号を示 し ます。
表 3‐9 : リ ン ク GT 信号
位置
信号名
15:0
lnk_rx_lane*_data
17:16
lnk_rx_lane*_kchar
19:18
lnk_rx_lane*_disparity_error
21:20
lnk_rx_lane*_symbol_error
22
i_comma_align_enable*
23
i_rx_low_voltage_lane*
24
i_lane*_symbol_locked
27:25
DisplayPort v5.0
PG064 2014 年 11 月 19 日
i_rx_buffer_status_lane_*
japan.xilinx.com
99
第 3 章 : コ ア を使用するデザイ ン
表 3‐9 : リ ン ク GT 信号 (続き)
位置
信号名
28
i_rx_pma_reset_done_out[*]
29
i_eyescandataerror_out[*]
30
i_comma_det_out[*]
45:31
i_dmonitor_out_*
LINK 制御信号 (lnk_debug_control)
表 3-10 に、 リ ン ク 制御信号を示 し ます。
表 3‐10 : リ ン ク 制御信号
位置
信号名
0
i_phy_reset
1
i_rx_phy_reset
2
i_rx_phy_reset_2
3
i_rx_phy_reset_3
4
i_rx_phy_reset_auto
5
i_rx_phy_pcs_reset
7:6
i_training_pattern_q
11:8
i_rx_power_down
13:12
i_reset_done_tile_0
15:14
i_reset_done_tile_1
16
i_prbs_enable_set
19:17
i_prbs_test_enable
20
i_tp1_start_detect_sync
21
i_tp2_start_detect_sync
22
i_tp3_start_detect_sync
23
bw_changed
24
link_bw_high
25
link_bw_hbr2
26
link_bw_rbr
31:27
i_drp_state
32
i_drp_enable
33
i_drp_write
34
i_drp_ready00
35
i_drp_ready01
36
i_drp_ready10
37
i_drp_ready11
53:38
DisplayPort v5.0
PG064 2014 年 11 月 19 日
i_drp_read_data
japan.xilinx.com
100
第 3 章 : コ ア を使用するデザイ ン
表 3‐10 : リ ン ク 制御信号 (続き)
位置
信号名
69:54
i_drp_write_data
77:70
i_drp_addr
81:78
lane_count
82
dpcd_mst_en
83
dpcd_up_req_en
84
dpcd_upstream_is_src
85
dpcd_enhanced_frame_en
87:86
link_qual_pattern
89:88
dpcd_power_state
90
dpcd_downspread_control
91
i_rx_user_ready
92
phy_use_dfe
93
i_pll_lock_detect_tile_0
94
i_pll_lock_detect_tile_1
95
pll1_lock_in (GTP) / gt0_qpllclk_lock (GTX/GTH)
AUX デバ ッ グ信号
表 3-11 に、 AUX デバ ッ グ信号を示 し ます。
表 3‐11 : AUX デバ ッ グ信号
位置
DisplayPort v5.0
PG064 2014 年 11 月 19 日
信号名
0
aux_data_in
1
aux_data_out
2
aux_data_enable_n
3
hot_plug_detect
japan.xilinx.com
101
第 3 章 : コ ア を使用するデザイ ン
ク ロ ッ キン グ
こ のセ ク シ ョ ンでは、 ク ロ ッ キ ン グの詳細について説明 し ます。
ト ラ ン シーバー基準ク ロ ッ ク
ト ラ ン シーバーの基準 ク ロ ッ ク は lnk_clk_p/n です。 7 シ リ ーズ FPGA の場合、 1.62、 2.7、 5.4Gbps のいずれの リ ン ク
レー ト の場合 も 135MHz (27MHz の高調波) の共通基準 ク ロ ッ ク が必要です。 詳細は、 91 ページの 「 ト ラ ン シーバー イ
ン ターフ ェ イ ス」 ( ソ ース コ アの場合) ま たは 98 ページの 「 ト ラ ン シーバー イ ン ターフ ェ イ ス」 (シ ン ク コ アの場合) を
参照 し て く だ さ い。
こ の コ アは 6 つの ク ロ ッ ク ド メ イ ン を使用 し ます。
•
lnk_clk : コ アの大半は こ の ド メ イ ンで動作 し ます。 こ の ド メ イ ンは、 ト ラ ン シーバーの基準 ク ロ ッ ク lnk_clk_p/n
に基づ き ます。 リ ン ク レー ト の切 り 替えは コ アの PHY にあ る DRP ス テー ト マシ ンに よ っ て処理 さ れます。レー
ン の動作速度が 2.7Gbps の場合、 lnk_clk の動作速度は 135MHz です。 レ ー ン の動作速度が 1.62Gbps の場合、
lnk_clk の動作速度は 81MHz です。 レーンの動作速度が 5.4Gbps の場合、 lnk_clk の動作速度は 270MHz です。
DisplayPort シ ン ク コ アでは、 ト ラ ン シーバーか ら の リ カバ リ ク ロ ッ ク か ら lnk_clk を生成 し ます。 ケーブルが接
続 さ れていない と 、 こ の ク ロ ッ ク は不安定にな り ます。
注記 : lnk_clk = link_rate/20
•
vid_clk : プ ラ イ マ リ ユーザー イ ン タ ーフ ェ イ ス ク ロ ッ ク です。 こ の ク ロ ッ ク は最高 150MHz ま での動作がテ ス
ト で確認 さ れてお り 、 2 ピ ク セル幅の場合は画面解像度 2560x1600、 4 ピ ク セル幅の場合はそれ以上の解像度をサ
ポー ト で き ます。 ピ ク セル イ ン タ ーフ ェ イ ス選択の詳細は、 第 3 章の 「ピ ク セル イ ン タ ーフ ェ イ ス の選択」 を
参照 し て く だ さ い。 『DisplayPort Standard』 に基づき 、 ビデオ ク ロ ッ ク は mvid と nvid を使用 し て リ ン ク ク ロ ッ
ク か ら 生成で き ます。
•
s_axi_aclk : プ ロ セ ッ サ ド メ イ ン です。 最大 135MHz での動作がテ ス ト で確認 さ れてい ます。 AUX ク ロ ッ ク ド メ
イ ンは こ の ド メ イ ンか ら 生成 さ れますが、 それ以外の制約は必要あ り ません。
•
aud_clk : オーデ ィ オ イ ン タ ーフ ェ イ ス ク ロ ッ ク です。 オーデ ィ オ サンプル レー ト に 512 を掛けた周波数です。
詳細は、 「オーデ ィ オ ク ロ ッ キ ン グ (推奨)」 を参照 し て く だ さ い。
補足 : デザ イ ンで使用す る ク ロ ッ ク の数を減 ら し たい場合は、 aud_clk と spdif_sample_clk を共用で き ます。
•
spdif_sample_clk : S/PDIF レ シーバーは、 こ の ク ロ ッ ク を使用 し て受信 ト ラ フ ィ ッ ク をサンプ リ ン グ し ます。 こ
の ク ロ ッ ク は、 オーデ ィ オ サンプル レー ト に 512 を掛けた値以上 と する 必要があ り ます。
•
aud_axis_aclk : オーデ ィ オ ス ト リ ー ミ ン グ イ ン タ ーフ ェ イ ス で使用 し ます。 こ の ク ロ ッ ク は、 オーデ ィ オ サン
プル レー ト に 512 を掛けた値以上 と す る 必要があ り ます。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
102
第 3 章 : コ ア を使用するデザイ ン
リセッ ト
DisplayPort ソ ースお よ びシ ン ク コ アの リ セ ッ ト 信号は次の と お り です。
•
•
ソ ース コ アの リ セ ッ ト
°
s_axi_aresetn : AXI リ セ ッ ト 。 ア ク テ ィ ブ Low。 s_axi_aclk に同期 し ます。
°
tx_vid_rst : ユーザー ビデオ リ セ ッ ト 。 vid_clk に同期 し ます。
°
aud_s_axi_aresetn : AXI リ セ ッ ト 。 ア ク テ ィ ブ Low。 s_axi_aclk に同期 し ます。
°
aud_rst : オーデ ィ オ イ ン タ ーフ ェ イ ス リ セ ッ ト 。 ア ク テ ィ ブ High。 aud_clk に同期 し ます。
°
aud_axis_aresetn : オーデ ィ オ ス ト リ ー ミ ン グ イ ン タ ーフ ェ イ ス リ セ ッ ト 。 ア ク テ ィ ブ Low。
aud_axis_aclk に同期 し ます。
シ ン ク コ アの リ セ ッ ト
°
s_axi_aresetn : AXI リ セ ッ ト 。 ア ク テ ィ ブ Low。 s_axi_aclk に同期 し ます。
°
rx_vid_rst : ユーザー ビデオ リ セ ッ ト 。 vid_clk に同期 し ます。
°
aud_s_axi_aresetn : AXI リ セ ッ ト 。 ア ク テ ィ ブ Low。 s_axi_aclk に同期 し ます。
°
aud_rst : オーデ ィ オ イ ン タ ーフ ェ イ ス リ セ ッ ト 。 ア ク テ ィ ブ High。 aud_clk に同期 し ます。
°
aud_axis_aresetn : オーデ ィ オ ス ト リ ー ミ ン グ イ ン タ ーフ ェ イ ス リ セ ッ ト 。 ア ク テ ィ ブ Low。
aud_axis_aclk に同期 し ます。
共有ロ ジ ッ ク
共有 ロ ジ ッ ク は、 ス タ ン ド ア ロ ン コ ア と し て、 ま たは 1 つ以上の イ ン ス タ ン ス を含む よ り 大規模なデザ イ ンの一部 と
し て使用で き る 柔軟な アーキ テ ク チ ャ を提供 し ます。 こ れに よ り 、 HDL の変更を最小限に抑え て コ ア を多 く の用途
に柔軟に利用で き る よ う に し てい ます。
DisplayPort v4.0 以前の コ アは RTL 階層が固定 さ れてい ま し た。 RTL 階層が固定 さ れてい る と 、 ク ロ ッ ク マネジ メ ン
ト ロ ジ ッ ク 、 GT 用の ク ワ ッ ド PLL、 リ セ ッ ト ス テー ト マシ ン な ど、 同 じ コ アの複数 イ ン ス タ ン ス間での ロ ジ ッ ク
共有が制限 さ れます。
共有 ロ ジ ッ ク RTL 階層は、 <component_name>_support と 呼ばれます。 図 3-29 と 図 3-30 は、 それぞれ共有 ロ ジ ッ ク ブ
ロ ッ ク が コ ア に 含 ま れ る 場合 と サ ン プ ル デザ イ ン に 含 ま れ る 場合 の 2 種類 の 階層 を 示 し た も の で す。 図中 の
<component_name> には生成 さ れた コ ア の名前が入 り ま す。 こ の 2 つの階層の違いは、 コ ア の境界線です。 こ れは、
Vivado IDE の [Shared Logic] タ ブ を使用 し て指定 し ます。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
103
第 3 章 : コ ア を使用するデザイ ン
X-Ref Target - Figure 3-29
FRPSRQHQWBQDPH!BH[DPSOHBGHVLJQ
FRPSRQHQWBQDPH!
FRPSRQHQWBQDPH!BVXSSRUW
6KDUHG
/RJLF
FRPSRQHQWBQDPH!B
FRUHBWRS
;
図 3‐29 : コ アに含まれた共有ロ ジ ッ ク
X-Ref Target - Figure 3-30
FRPSRQHQWBQDPH!BH[DPSOHBGHVLJQ
FRPSRQHQWBQDPH!BVXSSRUW
FRPSRQHQWBQDPH!
6KDUHG
/RJLF
FRPSRQHQWBQDPH!B
FRUHBWRS
;
図 3‐30 : サン プル デザイ ンに含まれた共有ロ ジ ッ ク
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
104
第 3 章 : コ ア を使用するデザイ ン
32 ビ ッ ト GT イ ン タ ー フ ェ イ スの設計上の注意点
5.4Gbps のデザ イ ン で -1 ス ピー ド グ レー ド のデバ イ ス を タ ーゲ ッ ト と す る 場合は、タ イ ミ ン グ要件を緩和す る ために
GT イ ン タ ーフ ェ イ ス幅を 32 ビ ッ ト に設定 し て く だ さ い。 こ れに よ っ て、 よ り 低い周波数の lnk_clk で動作が可能
にな り ます。
GTX お よ び GTH デバ イ ス デザ イ ンの ク ロ ッ キ ン グ方式は,
16 ビ ッ ト イ ン タ ーフ ェ イ ス と 32 ビ ッ ト イ ン タ ーフ ェ イ ス で共通です。 GTP デバ イ ス の場合、 TX PHY モジ ュ ール (
ソ ー ス の場合) と RX PHY モ ジ ュ ール ( シ ン ク の場合) に MMCM が追加 さ れ、 コ ア 用の lnk_clk と GT 用の TX/
RXUSRCLK が適切に生成 さ れます。
重要 : MMCM の設定は変更 し ない こ と を推奨 し ます。 帯域幅が変化 し た場合は、 MMCM DRP モジ ュ ールを使用 し
て MMCM の分周器設定を動的に変更 し ます。 MMCM DRP モジ ュ ールの詳細は、 XAPP888 [参照 17] を参照 し て く だ
さ い。
X-Ref Target - Figure 3-31
00&0
'53
/2&.('
&/.287
%8)*
00&0(
%8)*
7;5;287&/.
&/.287
&/.,1
%8)*
7;5;865&/.
6HULHV
)3*$V*73
7UDQVFHLYHU
7;5;865&/.
7;'$7$ELWV
'LVSOD\3RUW&RUH
5;'$7$ELWV
;
図 3‐31 : 32 ビ ッ ト GT イ ン タ ー フ ェ イ ス
デー タ バ ス幅お よ び関連す る デー タ /制御信号の幅は、 32 ビ ッ ト GT イ ン タ ーフ ェ イ ス に合わせて変更 さ れます。
16 ビ ッ ト モー ド で動作す る 場合は、 バ ス の下位 16 ビ ッ ト を使用 し ます。
デー タ 幅 32 ビ ッ ト の GT イ ン タ ーフ ェ イ ス で使用する 場合、 DisplayPort TX コ アには SST/MST モー ド に特有の制約
があ り ます。 制約を満たすには、 レーン数 と リ ン ク レー ト のバ ラ ン ス を と る 必要があ り ます。 GT イ ン タ ーフ ェ イ ス
のデー タ 幅が 16 ビ ッ ト の場合の DisplayPort TX/RX のプ ロ グ ラ ムについては、次に示す制約を除いてすべて同 じ です。
•
MIN_BYTES_PER_TU (オ フ セ ッ ト 0x1C4) を 4 以上に設定 し ます。
•
MST モー ド では、 VC ペ イ ロ ー ド サ イ ズ を 4 の倍数に設定 し ます。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
105
第 3 章 : コ ア を使用するデザイ ン
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
106
第 4章
デザイ ン フ ローの手順
こ の章では、 コ アのカ ス タ マ イ ズ と 生成、 制約、 お よ びシ ミ ュ レーシ ョ ン/合成/ イ ンプ リ メ ン テーシ ョ ンの手順につ
いて説明 し ます。一般的な IP イ ン テ グ レー タ ーの Vivado® デザ イ ン フ ロ ーについては、次の Vivado Design Suite ユー
ザー ガ イ ド を参照 し て く だ さ い。
•
『Vivado Design Suite ユーザー ガ イ ド : IP イ ン テ グ レー タ ーを使用 し た IP サブシ ス テ ムの設計』 (UG994) [参照 3]
•
『Vivado Design Suite ユーザー ガ イ ド : IP を使用 し た設計』 (UG896) [参照 13]
•
『Vivado Design Suite ユーザー ガ イ ド : 入門』 (UG910) [参照 15]
•
『Vivado Design Suite ユーザー ガ イ ド : ロ ジ ッ ク シ ミ ュ レーシ ョ ン』 (UG900) [参照 11]
コ アのカ ス タ マ イ ズおよび生成
こ こ では、 ザ イ リ ン ク ス ツールを使用 し 、 Vivado Design Suite で コ ア を カ ス タ マ イ ズお よ び生成す る 方法について説
明 し ます。
Vivado IP イ ン テ グ レー タ ーで コ ア を カ ス タ マ イ ズお よ び生成す る 場合は、 『Vivado Design Suite ユーザー ガ イ ド : IP
イ ン テ グ レー タ ーを使用 し た IP サブシ ス テ ムの設計』 (UG994) [参照 3] を参照 し て く だ さ い。 デザ イ ンの検証ま たは
生成時に一部の設定値が IP イ ン テ グ レー タ ーに よ っ て自動的に計算 さ れ る 場合があ り ます。 値が変更 さ れ る か否か
を確認す る には、 こ の章のパ ラ メ ー タ ーの説明を参照 し て く だ さ い。 パ ラ メ ー タ ー値を確認す る には、 Tcl コ ン ソ ー
ルか ら validate_bd_design コ マ ン ド を実行 し て く だ さ い。
ソ ース (TX) お よ びシ ン ク (RX) コ アは、 Vivado 統合設計環境 (IDE) で Vivado ツールを用いて個別に生成 さ れます。
こ のセ ク シ ョ ンでは、 こ れ ら コ アの生成 と カ ス タ マ イ ズに使用で き る オプシ ョ ンについて説明 し ます。 ソ ース コ ア と
シ ン ク コ アは別々に生成 さ れ る ため、 片方だけ を生成す る こ と も 両方を生成する こ と も で き ます。 IP はユーザー デ
ザ イ ンに合わせて カ ス タ マ イ ズで き ます。 それには、 IP コ アに関連す る 各種パ ラ メ ー タ ーの値を次の手順に従っ て指
定 し ます。
1.
IP カ タ ロ グか ら IP を選択 し ます。
2.
選択 し た IP を ダブル ク リ ッ ク す る か、 ツールバーま たは右 ク リ ッ ク メ ニ ュ ーか ら [Customize IP] コ マ ン ド を ク
リ ッ ク し ます。
詳細は、『Vivado Design Suite ユーザー ガ イ ド : IP を使用 し た設計』 (UG896) [参照 13] お よ び 『Vivado Design Suite ユー
ザー ガ イ ド : 入門』 (UG910) [参照 15] を参照 し て く だ さ い。
注記 : こ の章の図には Vivado 統合設計環境 (IDE) の ス ク リ ーン シ ョ ッ ト が使用 さ れてい ますが、 現在のバージ ョ ン と
はレ イ ア ウ ト が異な る 場合があ り ます。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
105
第 4 章 : デザイ ン フ ローの手順
[Configuration] タ ブ
図 4-1 に、 Vivado IDE で DisplayPort IP を開いた時の [Configuration] タ ブの画面を示 し ます。 こ こ か ら は、 こ の画面に
表示 さ れ る Vivado IDE の各オプシ ョ ンについて説明 し ます。
X-Ref Target - Figure 4-1
図 4‐1 : DisplayPort IP の [Configuration] タ ブ
•
[Component Name] : コ ン ポーネ ン ト 名は、 コ アの最上位 ラ ッ パー フ ァ イ ルの名前 と し て使用 し ます。下位のネ ッ
ト リ ス ト は、 元の名前の ま ま です。 コ ン ポーネ ン ト 名は最初の 1 文字を必ず小文字アルフ ァ ベ ッ ト と し 、 2 文字
目以降は a ~ z、 0 ~ 9、 ア ン ダース コ ア (_) を組み合わせて指定 し ます。 「displayport_0」 は内部モジ ュ ール名 と
し て使用す る ため、 コ ン ポーネ ン ト 名には使用 し ないで く だ さ い。
•
[Data flow direction] : [Transmit Source Core] ま たは [Receive Sink Core] の ど ち ら か を選択 し ます。 両方の コ アが必
要な場合は ソ ース コ ア と シ ン ク コ ア を別々に生成後、提供 さ れてい る ラ ッ パー フ ァ イ ルで こ れ ら を結合 し ます。
•
[Protocol Selection] : 生成す る コ アのプ ロ ト コ ル バージ ョ ン を選択 し ます。
°
[DisplayPort 1.1a] : DisplayPort 規格 1.1a 準拠の機能
°
[DisplayPort 1.2] : DisplayPort 規格 1.2 準拠の機能
•
[GT Interface Width] : 各レーンの GT イ ン タ ーフ ェ イ ス デー タ 幅を選択 し ます。 デー タ 幅を 32 ビ ッ ト に設定す
る と 、 低ス ピー ド グ レー ド のデバ イ ス で タ イ ミ ン グが改善 し ます。
•
[Link Configuration] :
°
[Number of Lanes] : 最大レーン数を 1、 2、 4 のいずれかで指定 し ます。 デザ イ ン を最適化する には、 レーン
数を少な く し て く だ さ い。 レーン数を増やす と 全体的な帯域幅 と 解像度が向上 し ます。
°
[Max Link Rate] : デザ イ ンでサポー ト す る 最大 リ ン ク レー ト を選択 し ます。
•
[AUX IO Configuration] : 双方向ま たは単方向の I/O のいずれかを選択 し ます。
•
[MST Configuration] :
°
[Mst Enable] : オンにす る と MST (デフ ォ ル ト では 2 ス ト リ ーム) のサポー ト が有効にな り ます。
°
[MST Streams] : 目的 と す る アプ リ ケーシ ョ ンに応 じ て ス ト リ ーム数 (2、 3、 4) を選択 し ます。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
106
第 4 章 : デザイ ン フ ローの手順
•
•
•
•
[Video Interface Configuration] :
°
[Max Bits Per Color] : コ アがサポー ト する 最大色深度を選択 し ます。 デフ ォ ル ト は 16 ビ ッ ト カ ラ ーです。
°
[Quad Pixel Enable] : 4 ピ ク セル幅のビデオ イ ン タ ーフ ェ イ ス を有効にす る には、 こ れを オンに し ます。 ク
ワ ッ ド ピ ク セル イ ン タ ーフ ェ イ ス のオプシ ョ ンは 4 レーンのデザ イ ン で利用で き ます。
°
[Dual Pixel Enable] : 2 ピ ク セル幅の ビデオ イ ン タ ーフ ェ イ ス を有効にす る には、 こ れを オンに し ます。 デ ュ
アル ピ ク セル イ ン タ ーフ ェ イ ス のオプシ ョ ンは 2 ま たは 4 レーンのデザ イ ンで利用で き ます。
°
[Y Only Enable] : Y のみの色空間 ロ ジ ッ ク を有効にす る には、 こ れを オンに し ます。 こ のオプシ ョ ンは、
DisplayPort 規格 v1.2 を使用す る コ アでのみ利用で き ます。
°
[YCrCb Enable] : YCrCb-4:2:2 色空間を有効にする には、 こ れをオンに し ます。
[Audio Interface Configuration] :
°
[Enable Audio Option] : 2 チ ャ ネル オーデ ィ オ をサポー ト し た コ ア を生成す る には、 こ れを オンに し ます。
こ のオプシ ョ ン を選択す る と 、 正 し い方向の S/PDIF コ ア も 生成 さ れます。
°
[Audio Channels] : オーデ ィ オ チ ャ ネルの数を選択 し ます。
[DPCD Configuration] :
°
[IEEE OUI] : レ シーバー シ ン ク コ アの こ のオプシ ョ ン を使用 し て、 合成前に OUI レ ジ ス タ 値をプ リ セ ッ ト
で き ます。 デフ ォ ル ト 値はザ イ リ ン ク ス の OUI です。
°
[Vendor Specific DPCD Enable] : レ シーバー シン ク コ アの こ のオプシ ョ ンは、 ベンダー固有の DPCD 領域を有
効にする場合に使用し ます。 こ の ロ ジ ッ ク を有効にする には、 こ れをオンに し ます。
[Additional transceiver control and status ports] : 最上位のデバ ッ グ ポー ト を有効にする には、こ れをオンに し ます。
[Shared Logic] タ ブ
図 4-2 に、 Vivado IDE で DisplayPort IP を開いた時の [Shared Logic] タ ブの画面を示 し ます。 こ こ か ら は、 こ の画面に
表示 さ れ る Vivado IDE の各オプシ ョ ンについて説明 し ます。
X-Ref Target - Figure 4-2
図 4‐2 : DisplayPort IP の [Shared Logic] タ ブ
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
107
第 4 章 : デザイ ン フ ローの手順
ク ロ ッ キ ン グ、 PLL、 リ セ ッ ト ロ ジ ッ ク を含む共有 ロ ジ ッ ク を コ ア自体に含め る か、 サンプル デザ イ ンに含め る か を
選択 し ます (第 3 章の 「共有 ロ ジ ッ ク 」 参照)。
パラ メ ー タ ーの設定
こ のセ ク シ ョ ンでは、 ソ ース コ アお よ びシ ン ク コ アのパ ラ メ ー タ ー設定について詳 し く 説明 し ます。
ソ ース コ アのパラ メ ー タ ー設定
Vivado Design Suite には、 特定の機能を含め る か ど う か を指定す る オプシ ョ ン が多数あ り ます。 リ ソ ース使用量を抑
え る ため、 不要な機能は無効に し てお く こ と を推奨 し ます。 表 4-1 に、 設定可能なパ ラ メ ー タ ーのオプシ ョ ン を示 し
ます。
表 4‐1 : 設定可能なパ ラ メ ー タ ーのオプ シ ョ ン
パラ メ ー タ ー
LANE_SUPPORT
LINK_RATE
デ フ ォル ト 値
説明
4
設定可能な値 : 1、 2、 4
送信でサポー ト す る 最大レ ーン数を指定 し ま す。 使用 し ない レ ーン のサ
ポー ト ハー ド ウ ェ アはデザ イ ンか ら 除去 さ れます。
2.7
設定可能な値 : 1.62、 2.7、 5.4
デザ イ ンでサポー ト す る 最大 リ ン ク レー ト を指定 し ます (単位 : Gb/s)。
SECONDARY_SUPPORT
0
オーデ ィ オ パケ ッ ト 送信用のセカ ン ダ リ チ ャ ネルを有効に し ます。
AUDIO_CHANNELS
2
現在のバージ ョ ンの IP は 2 チ ャ ネル オーデ ィ オ をサポー ト し てい ます。
こ の値はハー ド コ ー ド さ れてい ます。
MST_ENABLE
0
プ ロ ト コ ルに DisplayPort 1.2 を選択 し た場合、マルチ ス ト リ ームのサポー
ト を有効に し ます。
NUMBER_OF_MST_STREAMS
2
サポー ト さ れ る ス ト リ ームの総数を示 し ます。MST_ENABLE が TRUE の
場合に有効にな り ます。
PROTOCOL_SELECTION
0
次の値でプ ロ ト コ ルを選択 し ます。
• 0 : DisplayPort 1.1a
• 1 : DisplayPort 1.2
MAX_BITS_PER_COLOR
16
設定可能な値 : 8、 10、 12、 16
サポー ト さ れ る 最大色深度を設定 し 、 それに応 じ て IP を最適化 し ます。
QUAD_PIXEL_ENABLE
0
ク ワ ッ ド ピ ク セル ビデオ イ ン タ ーフ ェ イ ス のサポー ト を有効に し ます。
DUAL_PIXEL_ENABLE
1
デュ アル ピ ク セル ビデオ イ ン タ ーフ ェ イ ス のサポー ト を有効に し ます。
YCRCB_ENABLE
1
YCrCb 4:2:2 カ ラ リ メ ト リ のサポー ト を有効に し ます。
YONLY_ENABLE
0
Y のみのカ ラ リ メ ト リ のサポー ト を有効に し ます。
シ ン ク コ アのパラ メ ー タ ー設定
Vivado Design Suite には、 特定の機能を含め る か ど う か を指定す る オプシ ョ ンが多数あ り ます。 表 4-2 に、 設定可能
なパ ラ メ ー タ ーのオプシ ョ ン を示 し ます。
補足 : リ ソ ース使用量を抑え る ため、 不要な機能は無効に し てお く こ と を推奨 し ます。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
108
第 4 章 : デザイ ン フ ローの手順
表 4‐2 : 設定可能なパ ラ メ ー タ ーのオプ シ ョ ン
パラ メ ー タ ー
デ フ ォル ト 値
説明
4
設定可能な値 : 1、 2、 4
送信でサポー ト する 最大レーン数を指定 し ます。 使用 し ない レー
ンのサポー ト ハー ド ウ ェ アはデザ イ ンか ら 除去 さ れます。
2.7
設定可能な値 : 1.62、 2.7、 5.4
デザ イ ン でサポー ト す る 最大 リ ン ク レー ト を指定 し ます (単位 :
Gb/s)。
0
オーデ ィ オ パケ ッ ト 送信用のセカ ンダ リ チャ ネルを有効に し ます。
2
現在のバージ ョ ンの IP は 2 チ ャ ネル オーデ ィ オをサポー ト し て
い ます。 こ の値はハー ド コ ー ド さ れてい ます。
0
次の値でプ ロ ト コ ルを選択 し ます。
• 0 : DisplayPort v1.1a
• 1 : DisplayPort v1.2
LANE_SUPPORT
LINK_RATE
SECONDARY_SUPPORT
AUDIO_CHANNELS
PROTOCOL_SELECTION
MST_ENABLE
0
プ ロ ト コ ルに DisplayPort 1.2 を選択 し た場合、 マルチ ス ト リ ーム
のサポー ト を有効に し ます。
NUMBER_OF_MST_STREAMS
2
サポー ト さ れ る ス ト リ ームの総数を示 し ます。 MST_ENABLE が
TRUE の場合に有効にな り ます。
8
サポー ト さ れ る 最大色深度を設定 し 、 それに応 じ て IP を最適化
し ます。
0
ク ワ ッ ド ピ ク セル ビデオ イ ン タ ーフ ェ イ ス のサポー ト を有効に
し ます。
1
デュ アル ピ ク セル ビデオ イ ン タ ーフ ェ イ ス のサポー ト を有効に
し ます。
YCRCB_ENABLE
1
YCrCb 4:2:2 カ ラ リ メ ト リ のサポー ト を有効に し ます。
YONLY_ENABLE
0
Y のみのカ ラ リ メ ト リ のサポー ト を有効に し ます。
MAX_BITS_PER_COLOR
QUAD_PIXEL_ENABLE
DUAL_PIXEL_ENABLE
IEEE_OUI
24'h000A35
VENDOR_SPECIFIC
0
設定可能な値 : 24 ビ ッ ト 値
ユーザーの OUI 値を示 し ます。
シ ン ク コ アでベン ダー固有の DPCD 領域を有効に し ます。
ユーザー パラ メ ー タ ー
表 4-3 に、 Vivado IDE の GUI フ ィ ール ド と ユーザー パ ラ メ ー タ ーの対応関係を示 し ます。 ユーザー パ ラ メ ー タ ーは
Tcl コ ン ソ ールで表示で き ます。
表 4‐3 : GUI パラ メ ー タ ー と ユーザー パラ メ ー タ ーの対応
GUI パラ メ ー タ ー /値(1)
ユーザー パラ メ ー タ ー /値(1)
デ フ ォル ト 値
[Component Name]
Component_Name
displayport_v4_2
[Data flow direction]
Data_flow_direction
Transmit_Source_Core
[Transmit Source Core]
Transmit_Source_Core
[Receive Sink Core]
Receive_Sink_Core
[Protocol Selection]
Protocol_Selection
[Displayport 1.1a Version]
DP_1_1_A
[Displayport 1.2 Version]
DP_1_2
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
DP_1_1_A
109
第 4 章 : デザイ ン フ ローの手順
表 4‐3 : GUI パラ メ ー タ ー と ユーザー パラ メ ー タ ーの対応 (続き)
GUI パラ メ ー タ ー /値(1)
ユーザー パラ メ ー タ ー /値(1)
デ フ ォル ト 値
[Link Configuration]
[Number of Lanes] : 1、 2、 4
Number_of_Lanes : 1、 2、 4
4
[Max Link Rate] : 1.62、 2.7、 5.4
Link_Rate : 1.62、 2.7、 5.4
2.7
aux_io_type
1
[AUX IO Configuration]
[I/O Standard]
[Bidirectional] : 0
Bidirectional : 0
[Unidirectional] : 1
Unidirectional : 1
[MST Configuration]
[Mst Enable] : FALSE、 TRUE
MST_Enable : FALSE、 TRUE
FALSE
[MST Streams] : 2、 3、 4
Number_of_MST_Streams : 2、 3、 4
2
[Max Bits Per Color] : 8、 10、 12、 16
Max_Bits_Per_Color : 8、 10、 12、 16
16
[Quad Pixel Enable] : FALSE、 TRUE
Quad_Pixel_Enable : FALSE、 TRUE
FALSE
[Dual Pixel Enable] : FALSE、 TRUE
Dual_Pixel_Enable : FALSE、 TRUE
FALSE
[YCrCb Enable] : FALSE、 TRUE
YCRCB_Enable : FALSE、 TRUE
FALSE
[Y Only Enable] : FALSE、 TRUE
YOnly_Enable : FALSE、 TRUE
FALSE
[Enable Audio Option] :
FALSE、 TRUE
Enable_of_Audio_Channels :
FALSE、 TRUE
FALSE
[Audio Channels] : 1 ~ 8
Number_of_Audio_Channels : 1 ~ 8
2
[IEEE OUI] :
0x00000 ~ 0xFFFFFF
IEEE_OUI :
0x00000 ~ 0xFFFFFF
000A35
[Vendor Specific DPCD Enable] :
FALSE、 TRUE
Vendor_Specific :
FALSE、 TRUE
FALSE
[Video Interface Configuration]
[Audio Interface Configuration]
[DPCD Configuration]
[Additional transceiver control and status ports] : TransceiverControl : FALSE、 TRUE
FALSE、 TRUE
FALSE
[Shared Logic]
1
SupportLevel
[include Shared Logic in core]
1
[include Shared Logic in example design]
0
1. パ ラ メ ー タ ー値については、 GUI パ ラ メ ー タ ー値 と ユーザー パ ラ メ ー タ ー値の異な る も の を示 し てい ます。 こ れ ら の値は、 パ
ラ メ ー タ ー名の下に字下げ し て表記 し てい ます。
出力の生成
コ ア と 一緒に生成 さ れ る フ ァ イ ルの詳細は、 『Vivado Design Suite ユーザー ガ イ ド : IP を使用 し た設計』 (UG896) [参
照 13] を参照 し て く だ さ い。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
110
第 4 章 : デザイ ン フ ローの手順
コ アへの制約
こ のセ ク シ ョ ンでは、 DisplayPort コ アの制約に関する 要件を定義 し ます。 サンプル デザ イ ンには、 こ の章で定義 し た
制約を イ ンプ リ メ ン ト し たユーザー制約フ ァ イ ル (XDC) がサンプル と し て付属 し てい ます。
タ ーゲ ッ ト デバ イ ス に Kintex®-7 を選択 し た場合、 例 と し て XC7K325T-FFG900-2 デバ イ ス 用の XDC が生成 さ れ ま
す。 サンプル デザ イ ン と XDC は タ ーゲ ッ ト をほかのデバ イ ス に変更す る こ と も で き ます。 こ のセ ク シ ョ ン では、 サ
ンプル デザ イ ンで示 し た以外のデバ イ ス を タ ーゲ ッ ト と する 場合に変更が必要 と な る 制約について説明 し ます。
ボー ド レ イ アウ ト
ボー ド レ イ ア ウ ト の問題については、 『VESA DisplayPort Standard』 [参照 2] を参照 し て く だ さ い。 高速 I/O レーンの
レ イ ア ウ ト については、 使用す る ト ラ ン シーバーのユーザー ガ イ ド で該当す る セ ク シ ョ ン を参照 し て く だ さ い。 付
録 D の 「参考資料」 を参照 し て く だ さ い。 AUX チ ャ ネル信号には特に注意が必要です。 「I/O 規格 と 配置」 を参照 し
て く だ さ い。
必須の制約
コ ア を最大定格性能で動作 さ せ る には、 次の制約が必要です。 それほ ど高い性能が必要ない場合は、 必要に応 じ て値
を調整 し て く だ さ い。
create_clock -name lnk_clk_p -period 7.407 [get_ports lnk_clk_p]# 135MHz ( Till 2.7G)
create_clock -name axi_aclk -period 20
[get_pins -hier *s_axi_aclk]# 50MHz
create_clock -name vid_clk -period 6.667 [get_pins -hier *tx_vid_clk]# 150MHz
IP レベルの制約は コ ア と 一緒に生成 さ れ ます。 シ ス テ ム レベルの制約は必要に応 じ て定義 し て く だ さ い。 サ ン プル
デザ イ ンの XDC フ ァ イ ルを参考に し て く だ さ い。
デバイ ス、 パ ッ ケージ、 ス ピー ド グ レー ド の選択
サポー ト さ れ る デバ イ ス の一覧は、 4 ページの 「IP の概要」 を参照 し て く だ さ い。 5.4G レー ト の場合、 GT デー タ 幅
を 32 ビ ッ ト に指定す る と ス ピー ド グ レー ド -1 のデバ イ ス がサポー ト さ れます。 詳細は、 第 3 章の 「32 ビ ッ ト GT イ
ン タ ーフ ェ イ ス の設計上の注意点」 を参照 し て く だ さ い。
ク ロ ッ ク 周波数
ク ロ ッ ク 周波数の詳細は、 第 2 章の 「最大周波数」 を参照 し て く だ さ い。
ク ロ ッ ク 管理
ク ロ ッ ク 管理の詳細は、 第 3 章の 「 ク ロ ッ キ ン グ」 お よ び第 3 章の 「共有 ロ ジ ッ ク 」 を参照 し て く だ さ い。
ト ラ ン シーバーの配置
GT の配置は個々のボー ド に よ り 異な り ます。 特定のデバ イ スお よ びフ ァ ミ リ を タ ーゲ ッ ト に し たデザ イ ンでは、 GT
の配置は制約フ ァ イ ルで設定 し ます。
I/O 規格 と 配置
こ のセ ク シ ョ ンでは、 I/O の制約について詳 し く 説明 し ます。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
111
第 4 章 : デザイ ン フ ローの手順
AUX チ ャ ネル
『VESA DisplayPort Standard』 [参照 1] では、 AUX チ ャ ネルを双方向 LVDS 信号 と し て説明 し てい ます。 7 シ リ ーズ デ
ザ イ ンの場合、 コ アは LVDS 規格のデフ ォ ル ト と し て IOBUFDS (双方向バ ッ フ ァ ー ) を使用 し ます。 ボー ド 設計に関
し ては、 VESA DisplayPort 規格の推奨事項に従っ て く だ さ い。 サンプル デザ イ ンの XDC フ ァ イ ルを参考に し て く だ
さ い。
HR I/O バン ク をサポー ト し た Kintex®-7 お よ び Artix®-7 デバ イ ス の場合は、 次の制約を使用 し て く だ さ い。
ソ ース の場合 :
set_property IOSTANDARD LVDS_25
set_property IOSTANDARD LVDS_25
[get_ports aux_tx_io_p]
[get_ports aux_tx_io_n]
シ ン ク の場合 :
set_property IOSTANDARD LVDS_25
set_property IOSTANDARD LVDS_25
[get_ports aux_rx_io_p]
[get_ports aux_rx_io_n]
HP I/O バン ク をサポー ト し た Virtex®-7 デバ イ ス の場合は、 次の制約を使用 し て く だ さ い。
ソ ース の場合 :
set_property IOSTANDARD LVDS_25
set_property IOSTANDARD LVDS_25
[get_ports aux_tx_io_p]
[get_ports aux_tx_io_n]
シ ン ク の場合 :
set_property IOSTANDARD LVDS
set_property IOSTANDARD LVDS
[get_ports aux_rx_io_p]
[get_ports aux_rx_io_n]
HPD
HPD 信号は 3.3V と 2.5V の ど ち ら の I/O バン ク で も 動作 し ます。 規格では、 3.3V 信号 と 定義 さ れてい ます。
HR I/O バン ク をサポー ト し た Kintex-7 お よ び Artix-7 デバ イ ス の場合は、 次の制約を使用 し て く だ さ い。
set_property IOSTANDARD LVCMOS25 [get_ports hpd];
HP I/O バン ク をサポー ト し た Virtex-7 デバ イ ス の場合は、 次の制約を使用 し て く だ さ い。
set_property IOSTANDARD LVCMOS18 [get_ports hpd];
ボー ド 設計 と 接続に関 し ては、『DisplayPort Standard』の推奨事項に従っ て適切な レベル シ フ タ ーを使用 し て く だ さ い。
高速 I/O
4 つの高速レーンは、 LVDS (LVDS25) I/O 規格に基づいて動作 し ます。
HR I/O バン ク をサポー ト し た Kintex-7 お よ び Artix-7 デバ イ ス の場合は、 次の制約を使用 し て く だ さ い。
set_property IOSTANDARD LVDS_25
set_property IOSTANDARD LVDS_25
[get_ports lnk_tx_lane_p]
[get_ports lnk_tx_lane_n]
HP I/O バン ク をサポー ト し た Virtex-7 デバ イ ス の場合は、 次の制約を使用 し て く だ さ い。
set_property IOSTANDARD LVDS
set_property IOSTANDARD LVDS
[get_ports lnk_rx_lane_p]
[get_ports lnk_rx_lane_n]
ボー ド 設計 と 接続に関 し ては、 DisplayPort 規格の推奨事項に従っ て く だ さ い。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
112
第 4 章 : デザイ ン フ ローの手順
シ ミ ュ レーシ ョ ン
詳細は、 『Vivado Design Suite ユーザー ガ イ ド : ロ ジ ッ ク シ ミ ュ レーシ ョ ン』 (UG900) [参照 11] を参照 し て く だ さ い。
合成および イ ン プ リ メ ン テーシ ョ ン
合成お よ び イ ンプ リ メ ン テーシ ョ ンの詳細は、『Vivado Design Suite ユーザー ガ イ ド : IP を使用 し た設計』 (UG896) [参
照 13] を参照 し て く だ さ い。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
113
第 5章
サン プル デザイ ンの詳細
こ の章では、 サ ン プル デザ イ ン について詳 し く 説明 し ます。 内容には、 Vivado ツールで生成 さ れ る フ ァ イ ルお よ び
デ ィ レ ク ト リ 構造、 提供 さ れ る ス ク リ プ ト の目的 と 内容、 サン プル HDL ラ ッ パーの内容、 デモ用テ ス ト ベンチの動
作な ど が含まれます。
DisplayPort コ ア用に提供 さ れてい る サ ンプル デザ イ ンの詳細は、 アプ リ ケーシ ョ ン ノ ー ト 『DisplayPort 送信シ ス テ
ムの リ フ ァ レ ン ス デザ イ ン』 (XAPP1178) [参照 9] に も 記載 さ れてい ます。
最上位のサン プル デザイ ン
DisplayPort コ アの最上位のサンプル デザ イ ンは、 次の フ ァ イ ルで記述 し てい ます。
<project_dir>/<displayport_component_name>/example_design/<component_name>_exdes.v
最上位のサンプル デザ イ ンは、 ユーザー デー タ イ ン タ ーフ ェ イ ス に フ リ ッ プ フ ロ ッ プ を追加 し ます。 こ れに よ り 、 1
つの タ ーゲ ッ ト デバ イ ス でデザ イ ン全体を合成お よ び イ ンプ リ メ ン ト し て、 配置配線後のゲー ト レベル シ ミ ュ レー
シ ョ ン を実行で き ます。
ポ リ シー メ ー カ ー
DisplayPort コ アのポ リ シー メ ーカーのデザ イ ンは、 次の フ ァ イ ルで記述 し てい ます。
シン ク コ ア
<project_dir>/<displayport_component_name>/example_design/
<displayport_component_name>_dport_rx_fsm_cntrl.v
ソ ース コ ア
<project_dir>/<displayport_component_name>/example_design/
<displayport_component_name>_dport_tx_fsm_cntrl.v
ど ち ら のポ リ シー メ ーカーに も ス テー ト マシ ンが含まれ、 こ の ス テー ト マシ ンがプ ロ セ ッ サ イ ン タ ーフ ェ イ ス に接
続 し ます。命令セ ッ ト は RAM に格納 さ れ、必要に応 じ て変更可能です。付属の基本命令セ ッ ト は、 コ アのセ ッ ト ア ッ
プに関す る 基本的な手順を実際に示 し ます。
重要 : こ の イ ンプ リ メ ン テーシ ョ ンは参考用であ り 、 サンプル テ ス ト ベンチのデモ用 と し てのみ使用 し て く だ さ い。
EDID ROM
こ れ ら はすべての機能を備えた シ ン ク 専用フ ァ イ ルで、 EDID を コ アに接続する 方法を実際に示 し ます。
<project_dir>/<displayport_component_name>/example_design/
<displayport_component_name>_iic_edid_rom.vhd
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
114
第 5 章 : サン プル デザイ ンの詳細
<project_dir>/<displayport_component_name>/example_design/
<displayport_component_name>_iic_rom.vhd
ま た、 こ の EDID はハー ド ウ ェ アで も 使用で き ます。 必要に応 じ て レ ジ ス タ の値を変更 し て く だ さ い。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
115
第 6章
テ ス ト ベン チ
こ の章では、 Vivado® Design Suite で提供 さ れてい る テ ス ト ベンチについて説明 し ます。
こ のテ ス ト ベンチは、 サ ン プル デザ イ ン と コ ア を動作 さ せ る こ と を目的 と し た シ ン プルな Verilog プ ロ グ ラ ム です。
こ のデモ用テ ス ト ベンチは、 次に示すフ ァ イ ルで記述 し てい ます。
ソ ース コ ア
ソ ース のテ ス ト ベンチ フ ァ イ ルは次の場所にあ り ます。
<project_dir>/<displayport_component_name>/example_design/simulation/
<displayport_component_name>_tb.v
ソ ース のデモ用テ ス ト ベンチは、 次の タ ス ク を実行 し ます。
•
入力 ク ロ ッ ク 信号を生成
•
サンプル デザ イ ンに対 し て リ セ ッ ト を適用
•
ソ ース コ アに対 し て HPD を アサー ト
•
AUX チ ャ ネル要求に応答
•
ユーザー デー タ イ ン タ ーフ ェ イ ス のビデオ デー タ を駆動
シ ン ク コ ア
シ ン ク のテ ス ト ベンチ フ ァ イ ルは次の場所にあ り ます。
<project_dir>/<displayport_component_name>/example_design/simulation/
<displayport_component_name>_tb.v
シ ン ク のデモ用テ ス ト ベンチは、 次の タ ス ク を実行 し ます。
•
入力 ク ロ ッ ク 信号を生成
•
サンプル デザ イ ンに対 し て リ セ ッ ト を適用
•
AUX チ ャ ネル経由でシ ン ク コ アの レーン数を 4 に設定
•
AUX チ ャ ネル経由でシ ン ク コ アの帯域幅を 2.7Gbps に設定
•
ト レーニ ン グの開始を シ ン ク コ アに通知
•
高速レーン経由で ト レーニ ン グ パ タ ーン 1 お よ び 2 を送信
•
AUX チ ャ ネル経由で電力ス テー ト の値を設定
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
116
付録 A
検証、 互換性、 相互運用性
DisplayPort コ アは、 DisplayPort v1.1a に関 し ては機能シ ミ ュ レーシ ョ ン と 広範なハー ド ウ ェ ア テ ス ト 、 v1.2 に関 し て
は一部のハー ド ウ ェ ア テ ス ト に よ っ て検証 さ れてい ます。 DisplayPort 規格 v1.2 の機能に対す る イ ン タ ーオペ ラ ビ リ
テ ィ テ ス ト は現在 も 実施中です。
シ ミ ュ レーシ ョ ン
こ の コ アは、 パ ラ メ ー タ ー変更可能な ト ラ ンザ ク シ ョ ン ベース のテ ス ト ベンチを使用 し てテ ス ト し ま し た。 プ ロ ト コ
ルお よ び イ ンプ リ メ ン テーシ ョ ン特有の幅広いカバレ ッ ジで コ ア を完全に検証 し ま し た。 実施 し た テ ス ト には次が含
まれます。
•
AUX チ ャ ネル上での完全な I2C 動作
•
帯域幅お よ びパフ ォーマ ン ス テ ス ト
•
メ イ ン リ ン ク ス ト レス テス ト
•
プ ロ セ ッ サ イ ン タ ーフ ェ イ ス に よ る レ ジ ス タ の読み出 し /書き 込みア ク セ ス
•
ス ク ラ ンブル/デス ク ラ ンブル品質チ ェ ッ ク
•
ビデオお よ びオーデ ィ オ デー タ 完全性チ ェ ッ ク
ハー ド ウ ェ ア テ ス ト
DisplayPort コ アのバ リ デーシ ョ ンには、 Kintex-7 FPGA 評価キ ッ ト (KC705) を使用 し てい ます。 MST TX お よ び RX
の イ ン タ ーオペ ラ ビ リ テ ィ に関す る 予備テ ス ト が完了 し てい ます。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
117
付録 B
移行およびア ッ プグ レー ド
付録 B には、ISE® か ら Vivado® Design Suite へデザ イ ン を移行す る 際の情報、お よ び最新版 IP コ アへのア ッ プグ レー
ド に関す る 情報が記載 さ れてい ます。 Vivado Design Suite でア ッ プグ レー ド す る 場合のポー ト 変更お よ びユーザー ロ
ジ ッ ク への影響 と いっ た重要な情報 も こ こ に記載 さ れてい ます。
Vivado Design Suite への移行
こ の コ ア を Vivado Design Suite で使用す る 場合、 パ ラ メ ー タ ー BASEADDR、 HIGHADDR、 SPDIF_BASEADDR、
SPDIF_HIGHADDR は利用で き ません。 Vivado Design Suite への移行方法については、 『ISE か ら Vivado Design Suite
への移行ガ イ ド 』 (UG911) [参照 17] を参照 し て く だ さ い。
Vivado Design Suite でのア ッ プグ レー ド
こ のセ ク シ ョ ンでは、 Vivado Design Suite で こ の IP コ アの最新版にア ッ プグ レー ド す る 際の、 ユーザー ロ ジ ッ ク お よ
びポー ト の変更について説明 し ます。
パラ メ ー タ ーの変更点
表 B-1 に、 こ のバージ ョ ンの コ アで変更 さ れたパ ラ メ ー タ ーを示 し ます。
表 B‐1 : パ ラ メ ー タ ーの変更点
パラ メ ー タ ー
デ フ ォル ト 値
備考
GT Data Width
16
パ ラ メ ー タ ーを追加
aux_io_type
Unidirectional
パ ラ メ ー タ ーを追加
Support Level
Include Shared Logic in core
パ ラ メ ー タ ーを追加
Transceiver Control
FALSE
パ ラ メ ー タ ーを追加
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
118
付録 B : 移行およびア ッ プグレー ド
ポー ト の変更点
ポー ト は、 IP の コ ン フ ィ ギ ュ レーシ ョ ンに基づいて有効にな り ます。 こ のため、 IP を旧バージ ョ ンか ら 更新する と 、
DisplayPort コ アのア ッ プグ レー ド ロ グに外部ポー ト の違いが検出 さ れた こ と を示す警告が記録 さ れます。 表 B-2 に、
影響を受け る 可能性のあ る ポー ト を示 し ます。
表 B‐2 : ポー ト の変更点
イ ン タ ー フ ェ イ ス/信号名
説明
TX ユーザー デー タ イ ン タ ーフ ェ イ ス
送信 ソ ー ス コ アの コ ン フ ィ ギ ュ レーシ ョ ン でのみ有効にな り ま
す。 tx_vid_pixel0、 1、 2、 3 ポー ト が有効にな る か ど う かは、 デ ュ
ア ル/ ク ワ ッ ド ピ ク セルのパ ラ メ ー タ ー設定に よ っ て決 ま り ま
す。
TX メ イ ン リ ン ク イ ン タ ーフ ェ イ ス
送信 ソ ー ス コ アの コ ン フ ィ ギ ュ レーシ ョ ン でのみ有効にな り ま
す。lnk_tx_lane_p/n の幅は リ ン ク コ ン フ ィ ギ ュ レーシ ョ ンに よ っ
て決ま り ます。
S/PDIF オーデ ィ オ プ ロ セ ッ サ イ ン タ ーフ ェ イ ス オーデ ィ オ を有効に し た場合のみ有効にな り ます。
S/PDIF イ ン タ ーフ ェ イ ス
オーデ ィ オ を有効に し た場合のみ有効にな り ます。
オーデ ィ オ ク ロ ッ ク イ ン タ ーフ ェ イ ス
オーデ ィ オ を有効に し た場合のみ有効にな り ます。
RX ユーザー デー タ イ ン タ ーフ ェ イ ス
受信シ ン ク コ アの コ ン フ ィ ギ ュ レーシ ョ ン でのみ有効にな り ま
す。 rx_vid_pixel0、 1、 2、 3 ポー ト が有効にな る か ど う かは、 デ ュ
ア ル/ ク ワ ッ ド ピ ク セルのパ ラ メ ー タ ー設定に よ っ て決 ま り ま
す。
RX メ イ ン リ ン ク イ ン タ ーフ ェ イ ス
受信シ ン ク コ アの コ ン フ ィ ギ ュ レーシ ョ ン でのみ有効にな り ま
す。lnk_rx_lane_p/n の幅は リ ン ク コ ン フ ィ ギ ュ レーシ ョ ンに よ っ
て決ま り ます。
S/PDIF オーデ ィ オ プ ロ セ ッ サ イ ン タ ーフ ェ イ ス オーデ ィ オ を有効に し た場合のみ有効にな り ます。
S/PDIF イ ン タ ーフ ェ イ ス
オーデ ィ オ を有効に し た場合のみ有効にな り ます。
オーデ ィ オ ク ロ ッ ク イ ン タ ーフ ェ イ ス
オーデ ィ オ を有効に し た場合のみ有効にな り ます。
AUX チ ャ ネル イ ン タ ーフ ェ イ ス
aux_tx_io_p/n は送信 ソ ース コ アでのみ有効にな り 、 aux_rx_io_p/
n は受信シ ン ク コ アでのみ有効にな り ます。
I2C イ ン タ ーフ ェ イ ス
受信シン ク コ アの コ ン フ ィ ギ ュ レーシ ョ ンでのみ有効にな り ます。
tx_hpd
送信ソース コ アの コ ン フ ィ ギ ュ レーシ ョ ンでのみ有効にな り ます。
rx_hpd
受信シン ク コ アの コ ン フ ィ ギ ュ レーシ ョ ンでのみ有効にな り ます。
共有 ロ ジ ッ ク を有効に し た場合のポー ト
共有 ロ ジ ッ ク にい く つかのポー ト が追加 さ れてい ます。 詳細は、
第 2 章の 「ポー ト の説明」 を参照 し て く だ さ い。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
119
付録 C
デバ ッ グ
こ の付録では、 ザ イ リ ン ク ス サポー ト ウ ェ ブサ イ ト よ り 入手可能な リ ソ ースお よ びデバ ッ グ ツールについて説明 し
ます。
補足 : IP 生成の生成にエ ラ ーが発生 し 停止 し た場合、 ラ イ セ ン ス に問題があ る 可能性があ り ます。 詳細は、 第 1 章の
「 ラ イ セ ン スお よ び注文情報」 を参照 し て く だ さ い。
ザイ リ ン ク ス ウ ェ ブサイ ト
DisplayPort を使用 し た設計お よ びデバ ッ グでヘルプが必要な場合は、 ザ イ リ ン ク ス サポー ト ウ ェ ブ ページか ら 製品
の資料、 リ リ ース ノ ー ト 、 ア ンサーな ど を参照する か、 テ ク ニ カル サポー ト でケース を開いて く だ さ い。
資料
こ の製品ガ イ ド は DisplayPort に関す る 主要資料です。こ のガ イ ド 並びに全製品の設計プ ロ セ ス をサポー ト す る 資料は
すべて、 ザ イ リ ン ク ス サポー ト ウ ェ ブ ページ (http://japan.xilinx.com/support/) ま たはザ イ リ ン ク ス の Documentation
Navigator か ら 入手で き ます。
Documentation Navigator は、 ダ ウ ン ロ ー ド ページ (http://japan.xilinx.com/download) の [デザ イ ン ツール] タ ブか ら ダ ウ
ン ロ ー ド で き ます。 こ の ツールの詳細お よ び機能は、 イ ン ス ト ール後にオン ラ イ ン ヘルプ を参照 し て く だ さ い。
ア ンサー
ア ンサーには、 よ く 発生す る 問題についてその解決方法、 お よ びザ イ リ ン ク ス製品に関す る 既知の問題な ど の情報が
記載 さ れてい ます。ア ンサーは、ユーザーが該当製品の最新情報にア ク セ ス で き る よ う 作成お よ び管理 さ れてい ます。
こ の コ アのア ンサーを下に示 し ます。 ま た、 ザ イ リ ン ク ス サポー ト ウ ェ ブ ページか ら も 検索で き ます。 よ り 的確な
検索結果を得 る には、 次の よ う な キー ワー ド を使用 し て く だ さ い。
•
製品名
•
ツールで表示 さ れ る メ ッ セージ
•
問題の概要
検索結果は、 フ ィ ル タ ー機能を使用 し て さ ら に絞 り 込む こ と がで き ます。
DisplayPort コ アに関する マス タ ー ア ンサー :
AR 54522
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
120
付録 C : デバ ッ グ
テ ク ニ カル サポー ト
ザ イ リ ン ク ス では、 製品資料の説明に従 っ て使用 さ れて い る LogiCORE™ IP 製品に対す る テ ク ニ カ ル サ ポー ト を
japan.xilinx.com/support か ら 提供 し てい ます。 資料で定義 さ れていないデバ イ ス に イ ンプ リ メ ン ト し た り 、 製品資料で
記述 さ れてい る 範囲を超え て カ ス タ マ イ ズ し た り 、 あ る いは 「DO NOT MODIFY」 と 記述 さ れてい る デザ イ ン セ ク
シ ョ ンに変更を加えた り し た場合、 タ イ ミ ン グ、 機能、 製品サポー ト は保証 さ れません。
テ ク ニ カル サポー ト へのお問い合わせ方法は、 次の と お り です。
1.
http://japan.xilinx.com/support にア ク セ ス し ます。
2.
「その他の リ ソ ース」 の下の [ ウ ェ ブケース を作成] リ ン ク を ク リ ッ ク し 、 ウ ェ ブケース を開き ます。
ウ ェ ブケース を作成す る 際は、 次の情報を記述 し て く だ さ い。
•
パ ッ ケージお よ びデバ イ ス ス ピー ド グ レー ド を含む タ ーゲ ッ ト FPGA の情報
•
該当す る すべてのザ イ リ ン ク ス デザ イ ン ツール と シ ミ ュ レー タ の ソ フ ト ウ ェ ア バージ ョ ン
•
問題に よ っ ては、 フ ァ イ ルの追加を求め ら れ る 場合があ り ます。 ウ ェ ブケース に含め る 特定フ ァ イ ルについては、
こ の資料の関連セ ク シ ョ ン を参照 し て く だ さ い。
注記 : すべての問題が ウ ェ ブ ケー ス の利用対象にな る わけではあ り ま せん。 ウ ェ ブ ケー ス ツールに ロ グ イ ン し てサ
ポー ト オプシ ョ ン を確認 し て く だ さ い。
デバ ッ グ ツール
DisplayPort デザ イ ンの問題を解決す る には、 数多 く の ツールを利用で き ます。 さ ま ざ ま な状況をデバ ッ グす る のに有
益な ツールを理解 し てお く こ と が重要です。
Vivado ラ ボ ツール
Vivado® ラ ボ ツールは、Logic Analyzer (ILA) および Virtual I/O (VIO) コ ア をユーザーのデザ イ ンに直接挿入し ます。Vivado
ラ ボ ツールを使用する と 、 ト リ ガー条件を設定し て、 ハー ド ウ ェ アでアプ リ ケーシ ョ ンおよび統合ブ ロ ッ ク のポー ト 信
号をハー ド ウ ェ アに取 り 込む こ と がで き ます。 取 り 込まれた信号は、 その後解析で き ます。 こ の機能は Vivado IDE で使
用で き、 ハー ド ウ ェ ア上のザ イ リ ン ク ス デバ イ スで実行さ れるデザ イ ンの論理デバ ッ グおよびバ リ デーシ ョ ンに使用 さ
れます。
Vivado ロ ジ ッ ク 解析は次の LogiCORE IP ロ ジ ッ ク デバ ッ グ コ アに使用 さ れます。
•
ILA 2.0 (お よ びそれ以降のバージ ョ ン)
•
VIO 2.0 (お よ びそれ以降のバージ ョ ン)
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
121
付録 C : デバ ッ グ
ハー ド ウ ェ ア デバ ッ グ
ハー ド ウ ェ アの問題は、 リ ン ク 立ち上げ時の問題か ら 、 何時間 も のテ ス ト の後に発生す る 問題ま で さ ま ざ ま です。 こ
こ では、 一般的な問題のデバ ッ グ手順を説明 し ます。 ソ ース コ ア と シ ン ク コ ア間の ト ラ ンザ ク シ ョ ン を理解す る に
は、 外部補助チ ャ ネル アナ ラ イ ザーを使用す る こ と を推奨 し ます。
汎用チ ェ ッ ク
コ アに対す る タ イ ミ ン グ制約がサンプル デザ イ ンか ら すべて適切に取 り 込まれてい る こ と 、 さ ら に イ ンプ リ メ ン テー
シ ョ ン時に こ れ ら の制約がすべて満た さ れてい る こ と を確認 し ます。
•
配置配線後 タ イ ミ ン グ シ ミ ュ レーシ ョ ンで正 し く 動作す る か。 タ イ ミ ン グ シ ミ ュ レーシ ョ ン で問題がないのに
ハー ド ウ ェ アで不具合が発生す る 場合、 PCB の問題であ る 可能性が考え ら れます。 すべての ク ロ ッ ク ソ ース がア
ク テ ィ ブで ク リ ーンであ る こ と を確認 し て く だ さ い。
•
デザ イ ンで MMCM を使用 し てい る 場合、 locked ポー ト をモニ タ ー し て、 すべての MMCM が ロ ッ ク し てい る
こ と を確認 し ます。
•
出力が 0 にな っ た場合は、 ラ イ セ ン ス を確認 し て く だ さ い。
•
リ セ ッ ト 極性が正 し く 接続 さ れてい る こ と を確認 し て く だ さ い。
ソ ース コ ン ト ロー ラ ー
こ のセ ク シ ョ ンでは、 ソ ース コ ン ト ロ ー ラ ーの問題のデバ ッ グ手順について説明 し ます。
•
モニ タ ーにビデオが表示 さ れない場合
°
°
°
•
•
ソ ース コ ン ト ロ ー ラ ーがモニ タ ー (シ ン ク ) を ト レーニ ン グで き てい る か ど う か を確認 し て く だ さ い。 モニ
タ ー (シ ン ク ) か ら レーン ス テー タ ス を読み出 し ます。 ソ ース コ アのセ ッ ト ア ッ プ と 初期化の手順が第 3 章
の 「 ソ ース の概要」 の説明に従っ てい る こ と を確認 し て く だ さ い。
USER_DATA_COUNT_PER_LANE、 MIN_BYTES_PER_TU と FRAC_BYTES_PER_TU が利用可能な帯域幅に
基づいて計算 さ れてい る こ と を確認 し て く だ さ い。
ソ ース コ ン ト ロ ー ラ ーへのビデオ入力が適切な ビデオ タ イ ミ ン グに従っ てお り 、 ビデオ ピ ク セル周波数が
適切であ る こ と を確認 し て く だ さ い。 ビデオ タ イ ミ ン グは、 カ ス タ ム ロ ジ ッ ク ま たは VTC (ビデオ タ イ ミ
ン グ コ ン ト ロ ー ラ ー ) 検出機能を使用 し てチ ェ ッ ク で き ます。
AUX 読み出 し に毎回ま たは時々エ ラ ーにな る 場合
°
I/O レベルでの AUX の接続が正 し い こ と を確認 し て く だ さ い。
°
デバ ッ グ ポー ト ま たは外部プ ロ ーブを使用 し て AUX バス の ノ イ ズ レベルを確認 し て く だ さ い。
°
HPD がアサー ト さ れ、 シ ン ク デバ イ ス が接続 さ れてい る こ と を確認 し て く だ さ い。デバ イ ス を抜 き 挿 し し て
HPD ア ク テ ィ ビ テ ィ が変化す る か ど う か を確認 し て く だ さ い。
°
AUX バス の レー ト が 1Mbps と な る よ う に AUX_CLOCK_DIVIDER が正 し く 設定 さ れてい る こ と を確認 し て
く だ さ い。
シ ン ク デバ イ ス でオーデ ィ オが再生 さ れない場合
°
ソ ース の AXI4-Stream イ ン タ ーフ ェ イ ス でオーデ ィ オ デー タ が転送 さ れてい る こ と を確認 し て く だ さ い。
°
第 3 章の 「オーデ ィ オ管理」 で説明 さ れてい る 手順に従っ て く だ さ い。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
122
付録 C : デバ ッ グ
シ ン ク コ ン ト ロー ラ ー
こ のセ ク シ ョ ンでは、 シ ン ク コ ン ト ロ ー ラ ーの問題のデバ ッ グ手順について説明 し ます。
モニ タ ーにビデオが表示 さ れない、 ま たは ト レーニ ン グが失われ る 場合
•
シ ン ク コ ン ト ロ ー ラ ーが ト レーニ ン グ さ れてい る か ど う かを確認 し て く だ さ い。AXI イ ン タ ーフ ェ イ ス を使用 し
てモニ タ ー (シ ン ク ) か ら レーン ス テー タ ス を読み出 し ます。
°
Vivado ラ ボ ツールですべての lane_data、 lane_kchar、 お よ び PHY モジ ュ ールか ら の ト レーニ ン グ パ タ ーン
信号を追加 し ます。
-
ト レーニ ン グ パ タ ーンの使用を ト リ ガー し 、ト レーニ ン グ パ タ ーン 1 と 2 の実行中の スナ ッ プシ ョ ッ ト
を キ ャ プチ ャ し ま す。 ま た、 ブ ラ ン キ ン グ開始シ ン ボルを ト リ ガー と し て使用 し て ラ ン ダ ム ス ナ ッ プ
シ ョ ッ ト を キ ャ プチ ャ し ます。
°
GT PLL が ロ ッ ク し てい る こ と を確認 し ます。 ロ ッ ク し ていない場合は、 ク ロ ッ ク の接続を確認 し て く だ さ い。
°
GT RESET_DONE 信号がアサー ト さ れてい る こ と を確認 し ます。 アサー ト さ れていない場合、 PLL ロ ッ ク と
リ セ ッ ト ロ ジ ッ ク を確認 し て く だ さ い。
°
ア ク テ ィ ブな レーンのすべて ま たは一部でデ ィ スパ リ テ ィ エ ラ ー と シ ン ボル エ ラ ーが発生す る 場合 :
-
シ ン ボル エ ラ ー フ ラ グにカ ウ ン タ ーを追加 し 、 エ ラ ー カ ウ ン ト を モニ タ ー し ます。
-
問題が解決 し ない場合は、 ボー ド のシ グナル イ ン テ グ リ テ ィ を確認 し た上で、 ザ イ リ ン ク ス の GT 担当
者に評価を依頼 し て く だ さ い。
モニ タ ー デ ィ ス プ レ イ に ノ イ ズが混入 し 、 エ ラ ーが多い場合
•
シ ン ク コ ン ト ロ ー ラ ー出力のビデオ パ イ プ ラ イ ンで設定どお り にシ ン グル/デ ュ アル/ ク ワ ッ ド ピ ク セルが使用
さ れてい る こ と を確認 し て く だ さ い。
•
シ ス テ ムで正 し い ビデオ フ ォーマ ッ ト と BPC が使用 さ れてい る か ど う か を MSA お よ び MISC0 レ ジ ス タ で確認
し て く だ さ い。
•
SYM_ERR_CNT01 お よ び SYM_ERR_CNT23 レ ジ ス タ で リ ン ク エ ラ ーを確認 し て く だ さ い。
•
認証済みの DP1.2 ケーブルを使用 し てい る こ と を確認 し て く だ さ い。
ソ ース が AUX チ ャ ネルを使用 し て読み出 し /書 き 込みを実行で き ない場合
•
I/O レベルでの AUX の接続が正 し い こ と を確認 し て く だ さ い。
•
AUX バス の ノ イ ズ レベルを確認 し て く だ さ い。
•
AUX バ ス の レー ト が 1Mbps と な る よ う に AUX_CLOCK_DIVIDER が正 し く 設定 さ れてい る こ と を確認 し て く だ
さ い。
シ ン ク デバ イ ス でオーデ ィ オが再生 さ れない、 ま たはオーデ ィ オに ノ イ ズが混入する 場合
•
シ ン ク の AXI4-Stream イ ン タ ーフ ェ イ ス でオーデ ィ オ デー タ が受信 さ れてい る こ と を確認 し て く だ さ い。
•
情報パケ ッ ト が受信 さ れてい る こ と を確認 し て く だ さ い。 情報パケ ッ ト の受信は必須ではあ り ませんが、 ソ ース
が情報パケ ッ ト を送信 し ていればセカ ン ダ リ チ ャ ネルがア ク テ ィ ブか ど う かを確認する のに役立ち ます。
•
オーデ ィ オに ノ イ ズが混入す る 場合は、シ ン ク コ アのエ ラ ー デ コ ーダーが訂正で き ないほ ど多 く のエ ラ ーが発生
し ていないか ど う か確認 し て く だ さ い。
•
第 3 章の 「オーデ ィ オ管理」 で説明 さ れてい る 手順に従っ て く だ さ い。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
123
付録 D
その他の リ ソ ースおよび法的通知
ザイ リ ン ク ス リ ソ ース
ア ンサー、 資料、 ダ ウ ン ロ ー ド 、 フ ォー ラ ム な ど のサポー ト リ ソ ース は、 ザ イ リ ン ク ス サポー ト サ イ ト を参照 し て
く だ さ い。
参考資料
次の資料は、 こ の製品ガ イ ド の補足資料 と し て役立ち ます。
1.
VESA 『DisplayPort Standard v1.1a』 2008 年 1 月 11 日
2.
VESA 『DisplayPort Standard v1.2』 2009 年 12 月 22 日
3.
『Vivado Design Suite ユーザー ガ イ ド : IP イ ン テ グ レー タ ーを使用 し た IP サブシ ス テ ムの設計』 (UG994)
4.
LogiCORE IP SPDIF 製品ガ イ ド (PG045)
5.
『DisplayPort ソ ース コ ア リ フ ァ レ ン ス デザ イ ン』 (XAPP493)
6.
『High-bandwidth Digital Content Protection System v1.3 Amendment for DisplayPort, v1.0』
7.
『AMBA AXI Protocol, v2.0』
8.
『7 シ リ ーズ FPGA GTX ト ラ ン シーバー ユーザー ガ イ ド 』 (UG476)
9.
『DisplayPort 送信シ ス テ ムの リ フ ァ レ ン ス デザ イ ン』 (XAPP1178)
10. 『Vivado Design Suite : AXI リ フ ァ レ ン ス ガ イ ド 』 (UG1037)
11. 『Vivado Design Suite ユーザー ガ イ ド : ロ ジ ッ ク シ ミ ュ レーシ ョ ン』 (UG900)
12. 『Vivado Design Suite ユーザー ガ イ ド : イ ンプ リ メ ン テーシ ョ ン』 (UG904)
13. 『Vivado Design Suite ユーザー ガ イ ド : IP を使用 し た設計』 (UG896)
14. 『Vivado Design Suite ユーザー ガ イ ド : プ ロ グ ラ ムお よ びデバ ッ グ』 (UG908)
15. 『Vivado Design Suite ユーザー ガ イ ド : 入門』 (UG910)
16. 『ISE か ら Vivado Design Suite への移行ガ イ ド 』 (UG911)
17. 『MMCM お よ び PLL のダ イ ナ ミ ッ ク リ コ ン フ ィ ギ ュ レーシ ョ ン』 (XAPP888)
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
124
付録 D : その他の リ ソ ースおよび法的通知
改訂履歴
次の表に、 こ の文書の改訂履歴を示 し ます。
日付
バージ ョ ン
内容
2014 年 11 月 19 日
5.0
• 表 2-10 で DisplayPort v1.2a プ ロ ト コ ルの CORE_ID 値を更新。
• 表 2-10 で ス ト リ ームの番号を更新。
• 図 3-10 で レ シーバー コ アの初期化を更新。
2014 年 10 月 1 日
5.0
• 32 ビ ッ ト GT デー タ 幅のサポー ト を追加。
• RX 側での MST のサポー ト を追加。
• MST RX のプ ロ グ ラ ム シーケ ン ス を追加。
2014 年 6 月 4 日
4.2
• GUI パ ラ メ ー タ ー と ユーザー パ ラ メ ー タ ーの対応表を追加。
• ユーザー デー タ イ ン タ ーフ ェ イ ス の極性情報を追加。
2013 年 12 月 18 日
4.2
• ピ ク セル ク ロ ッ ク オプシ ョ ンに関する 詳細を追加。
• MST TX プ ロ グ ラ ムに関す る 詳細を更新。
• ト ラ ン シーバーのデバ ッ グに関する 詳細を追加。
2013 年 10 月 2 日
4.1
コ アのバージ ョ ン番号 と 一致する よ う に リ ビ ジ ョ ン番号を 4.1 に変更。 第 3 章
に 「共有 ロ ジ ッ ク 」、 「デバ ッ グ イ ン タ ーフ ェ イ ス」 の項を追加。
2013 年 3 月 20 日
2.0
コ ア のバージ ョ ン を v4.0 に ア ッ プデー ト 。 MST (Multi-Stream Transport) のサ
ポー ト を追加。
2012 年 7 月 25 日
1.0
製品 ガ イ ド と し て の初版。 以前の 『LogiCORE IP DisplayPort デー タ シ ー ト 』
(DS802) と 『LogiCORE IP DisplayPort ユーザー ガ イ ド 』 (UG767) を置 き 換え。
PHY パ ワ ー ダ ウ ン制御レ ジ ス タ を追加。
Artix®-7 デバ イ ス のサポー ト を追加。
法的通知
The information disclosed to you hereunder (the "Materials") is provided solely for the selection and use of Xilinx products. To the maximum extent
permitted by applicable law: (1) Materials are made available "AS IS" and with all faults, Xilinx hereby DISCLAIMS ALL WARRANTIES AND
CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY,
NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable (whether in contract or tort,
including negligence, or under any other theory of liability) for any loss or damage of any kind or nature related to, arising under, or in connection
with, the Materials (including your use of the Materials), including for any direct, indirect, special, incidental, or consequential loss or damage
(including loss of data, profits, goodwill, or any type of loss or damage suffered as a result of any action brought by a third party) even if such
damage or loss was reasonably foreseeable or Xilinx had been advised of the possibility of the same. Xilinx assumes no obligation to correct any
errors contained in the Materials or to notify you of updates to the Materials or to product specifications. You may not reproduce, modify, distribute,
or publicly display the Materials without prior written consent. Certain products are subject to the terms and conditions of Xilinx's limited warranty,
please refer to Xilinx's Terms of Sale which can be viewed at http://www.xilinx.com/legal.htm#tos; IP cores may be subject to warranty and support
terms contained in a license issued to you by Xilinx. Xilinx products are not designed or intended to be fail-safe or for use in any application
requiring fail-safe performance; you assume sole risk and liability for use of Xilinx products in such critical applications, please refer to Xilinx's
Terms of Sale which can be viewed at http://www.xilinx.com/legal.htm#tos.
© Copyright 2012-2014 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Vivado, Zynq, and other designated brands
included herein are trademarks of Xilinx in the United States and other countries. All other trademarks are the property of their respective owners.
こ の資料に関す る フ ィ ー ド バ ッ ク お よ び リ ン ク な ど の問題につ き ま し ては、 [email protected] ま で、 ま たは各ページの
右下にあ る [フ ィ ー ド バ ッ ク 送信] ボ タ ン を ク リ ッ ク す る と 表示 さ れ る フ ォ ーム か ら お知 ら せ く だ さ い。 フ ィ ー ド バ ッ ク は日本語で
入力可能です。 いただ き ま し た ご意見を参考に早急に対応 さ せていただ き ます。 なお、 こ の メ ール ア ド レ スへのお問い合わせは受
け付けてお り ません。 あ ら か じ めご了承 く だ さ い。
DisplayPort v5.0
PG064 2014 年 11 月 19 日
japan.xilinx.com
125