View - ResearchGate

Progress in Resolution, Sensitivity and Critical Dimensional Uniformity of EUV Chemically
Amplified Resists
by
James Thackeray, James Cameron, Vipul Jain, Paul LaBeaume, Suzanne Coley, Owendi Ongayi,
Mike Wagner, Aaron Rachford
The Dow Chemical Company
Dow Electronic Materials
455 Forest Street
Marlboro, MA 01752
John Biafore
KLA-Tencor Division
8843 N. Capital of Texas Highway
Austin, TX 78759
Abstract
This paper will discuss further progress obtained at Dow for the
improvement of the Resolution,
Contact critical dimension
uniformity(CDU), and Sensitivity of EUV chemically amplified resists.
For resolution, we have employed the use of polymer-bound
photoacid generator (PBP) concept to reduce the intrinsic acid
diffusion that limits the ultimate resolving capability of CA resists. For
CDU, we have focused on intrinsic dissolution contrast and have found
that the photo-decomposable base (PDB) concept can be successfully
employed. With the use of a PDB, we can reduce CDU variation at a
lower exposure energy. For sensitivity, we have focused on more
efficient EUV photon capture through increased EUV absorption, as
well as more highly efficient PAGs for greater acid generating
efficiency. The formulation concepts will be confirmed using Prolith
stochastic resist modeling. For the 26nm hp contact holes, we get
excellent overall process window with over 280nm depth of focus for a
10% exposure latitude Process window. The 1sigma Critical dimension
uniformity [CDU] is 1.1 nm. We also obtain 20nm hp contact
resolution in one of our new EUV resists.
Keywords: Photoresist, chemical amplification, polymer-bound
PAG,
acid
diffusion,
photo-decomposable
base.
Advances in Resist Materials and Processing Technology XXX, edited by Mark H. Somervell, Thomas I. Wallow,
Proc. of SPIE Vol. 8682, 868213 · © 2013 SPIE · CCC code: 0277-786X/13/$18 · doi: 10.1117/12.2011565
Proc. of SPIE Vol. 8682 868213-1
Downloaded From: http://proceedings.spiedigitallibrary.org/ on 11/18/2014 Terms of Use: http://spiedl.org/terms
Introduction
EUV resist development has accelerated as the EUV technology has steadily matured. The
primary focus of EUV resist development has been the classical tradeoff between resolution (R),
Linewidth Roughness (L) and Sensitivity (S).1
The RLS tradeoff has been dominant at
sensitivities below 10mj for line space applications and below 20mj for contact hole application.
Instead of LWR, this paper will focus on critical dimension uniformity (CDU), a better measure
of the roughness of contact holes. A few authors have pointed out that from a photon
stochastic noise viewpoint, this brick wall on sensitivity is primarily due to the short EUV
wavelength of 13.4nm.2
So either the source power increases, so the resist sensitivity
requirement can be relaxed or the resist remains at these very fast sensitivity targets, and it is
up to the resist chemist to squeeze out RLS performance at extremely challenging stochastic
noise conditions.
Nonetheless, the resist community has continued to come up with performance advantages for
EUV resists. The first innovation was to develop high contrast, low diffusion chemically
amplified resists that could meet the onerous sensitivity targets whilst still achieving high
resolution.3 These materials include the polymer-bound PAG (PBP) concept.4 With polymerbound PAG, we have been able to steadily lower acid diffusion length to the 5nm range
allowing sub-20 nm lithographic performance. We have also introduced novel PAGs which
reduce the impact of out-of-band (OOB) radiation flare in these resists.5 This paper will
introduce some newer concepts which can also enhance EUV resist performance.
The first concept, introduced in KrF resists in the 1990s, is the concept of
photodecomposable base (PDB).6 Funato and Pawlowski first used PDBs in KrF chemicallyamplified resists as latent image stabilizers. In the continuum, the conversion of PDBs to
neutral fragments is modeled:
(1)
(2)
where is the concentration of PDB, is the intensity of light and
is the exposure rate
constant of photo-decomposition. The rate of base decomposition,
by the direct photolytic
mechanism (ArF, KrF) can be expressed
(3)
Proc. of SPIE Vol. 8682 868213-2
Downloaded From: http://proceedings.spiedigitallibrary.org/ on 11/18/2014 Terms of Use: http://spiedl.org/terms
where
is the quantum efficiency of the decomposition process and
is the PDB
molar absorbance coefficient. When irradiated in EUV, PDB conversion is assumed to behave
similarly to PAG conversion. PDBs are designed to act as acid quenchers in unexposed areas,
yet decompose into neutral fragments in exposed areas as shown in Figure 1.
[Acid] and [PDB] vs. displacement
1.0 -
[Acid] and [Quencher] vs. displacement
1.0
0.9
00
0.8
08
0.7
07
0.8
Og
0.5
05
0.4
04
0.3
03
0.2
02
0.1
C1
ssltisItsk
00
0.0
-1500
-1000
505
-502
1020
-15C0
1502
1020
.5.33
_35
1500
1000
Figure 1.
1D continuum models of generated acid, conventional quencher and photodecomposable quencher in model resist, post-exposure. PDBs act as acid quenchers in
unexposed areas, yet decompose into neutral fragments in exposed areas.
M after PEB, Conventional Q
y, nm
-50
0
50
-500
-400
-300
-200
-100
0
100
200
300
100
200
300
400
500
x, nm
M after PEB, PDB
y, nm
-50
0
50
-500
-400
-300
-200
-100
0
400
500
x, nm
Figure 2. Stochastic simulation of blocked polymer concentration after PEB for 27 nm hp lines,
conventional quencher vs. PDB. Esize is at 12.8 mJ/cm2 for both modeled samples. Simulations
are conducted in 3D and averaged to 2D in the direction of resist thickness. The lines are viewed
top-down for the two virtual resist formulations. White indicates 100% concentration of the
protecting group, black indicates 0% concentration. The top plot shows state of M for the resist
containing conventional quencher, the bottom shows the state of M for the resist containing
PDB.
The reduction of the acid neutralization rate in the exposed area, produced by PDB exposure,
increases the extent of deprotection and the chemical contrast of the resist at the mask edge
(see Figure 2) leading to enhanced sensitivity and critical dimension uniformity (CDU). We will
show that we are able to design specific PDBs that work well in EUV.
Proc. of SPIE Vol. 8682 868213-3
Downloaded From: http://proceedings.spiedigitallibrary.org/ on 11/18/2014 Terms of Use: http://spiedl.org/terms
The second concept we utilized is the development of more EUV efficient PAGs. Given the
limited photons available in EUV, it is important that we harvest the maximum number of
photons, and secondary electrons, to give the highest acid yield possible.7 It is known that the
PAGs in EUV work by an ionization mechanism, as shown in Figure 2.8 By virtue of this
mechanism, it becomes clear that PAGs that are more easily reduced would be potentially more
sensitive to EUV exposure and the subsequent secondary electron cascade. The mechanism also
points out that the resist matrix plays a key role in secondary electron generation after the
absorption of the EUV photon. Accordingly, we have strategically and systematically designed,
and developed novel PAGs capable of being more easily irreversibly reduced.
Mechanisms of EUV Acid Generation
Resist\
Resist
EUV
Res,sl\
Electron Generation
Secondary
electron
/Resist
e
T
( -80eV)
R 'H
Resist`
Resist
'Resist
ResistyReslst
Resi
Generated Acid
H'R'H
''H
Secondary
electron (`15eV)
X
e
Anion Release
Key to EUV
Rests
Resist
ResistyReslst
Acid Release
H'
R
+
HX
H
X
Acid is "released"
Figure 2. Mechanism of EUV acid generation.
This paper will discuss the resist performance enhancements for a PBP-based system utilizing
more EUV efficient PAGs as well as photodecomposable bases.
Proc. of SPIE Vol. 8682 868213-4
Downloaded From: http://proceedings.spiedigitallibrary.org/ on 11/18/2014 Terms of Use: http://spiedl.org/terms
Experimental:
Resist formulations: Various polymers were formulated for positive tone EUV lithographic
evaluation at EMET Albany, LBNL BMET, or IMEC NXE3100 exposure tools. The resist materials
were all based on PBP lithographic polymers.
Resist Processing. Resist formulations were spun cast to a resist thickness of 60nm on 200mm Si
wafers coated with 25nm of underlayer. For high resolution tests, the resists were coated to
35nm film thickness. The films were post-apply baked at 110°C or 130°C for 90 seconds and
exposed to EUV light source (NA=0.30; Quad; 0.22σ/ 0.68σ Mask) using both an open frame
array in order to obtain a contrast curve and through a binary mask containing dark field
line/space patterns or contact hole patterns. The exposed wafers were postexposure baked at
100oC for 60 seconds and then developed with 0.26N tetramethylammonium hydroxide solution
for 30 seconds. Annular exposure conditions were done typically, with dipole exposure done for
ultimate resolution. At LBNL, a pseudo PSM was used for high resolution testing.
Experimental Procedure for Determination of Reduction Potentials.
Reduction potentials reported herein are cathodic peak potentials of irreversible
voltammograms obtained in cyclic voltammetric experiments. Cyclic voltammograms were
collected in a one compartment cell with a Pt working electrode (BASi, MF-2013) Pt wire
auxiliary electrode (BASi, MW-4130), and Ag/AgCl reference electrode (BASi, MF-2052). Hence,
all values are relative to the Ag/AgCl redox couple. A 0.1 M solution of tetrabutyl ammonium
perchlorate (>99%, Sigma-Aldrich) dissolved into acetonitrile (HPLC grade, Sigma-Aldrich) was
used as the electrolyte solution for all electrochemical experiments. Caution! Perchlorate salts
are potentially explosive and should be handled with care.
Prior to each experiment, the Pt working electrode was thoroughly cleaned and polished with a
polishing alumina slurry, rinsed with distilled water and dried. The electrolyte solution was
checked for contamination of electrochemically active species by conducting a cyclic
voltammetry experiment prior to addition of the PAG analyte, sweeping across an
electrochemical potential window of 0 to -2.0 V vs. Ag/AgCl. Upon confirming a clean electrolyte
solution, the selected PAG was dissolved into the electrolyte solution (~10-3 M concentration for
PAG) followed by N2 purging of the resulting solution for 5-10 minutes prior to electrochemical
measurement. Three successive cyclic voltammograms were collected on each PAG for
determination of cathodic peak potentials. The scan rate for potential sweep was 0.1 V/s with a
step size of 0.01 V. No iR-compensation was applied.
Proc. of SPIE Vol. 8682 868213-5
Downloaded From: http://proceedings.spiedigitallibrary.org/ on 11/18/2014 Terms of Use: http://spiedl.org/terms
Results
Diffusion length improvement: Many authors have pointed out the importance of lowering
acid diffusion length in order to improve ultimate resolution and exposure latitude. 3,5 In table
1, we illustrate the dramatic improvement in reduction of acid diffusion as we move from KrF,
to ArF, and finally EUV resist material. In KrF, typical KrF acid diffusion length is 20-30 nm. In
ArF, typical ArF acid diffusion length is 10-20nm. Finally for EUV resists, the acid diffusion
length is further reduced to 5-10nm. We have benchmarked one of our PBP-based resists which
also has standard quencher. Figure 3 illustrates the large process window for 26nm hp CH on
an NXE3100 exposure tool, with DOF 280nm over a 10% EL range. Figure 4 shows 22nm CH
resolved with this resist. By fitting the CD data using Prolith 4.1.4 SRM, we obtain an acid
diffusion length for this resist of 4.9nm. The resist model is very accurate for predicting
measured CDU, as shown in figure 5. The experimental CDU measured was extremely low at
1.1nm, 1sigma. This excellent performance illustrates that standard quencher-based resists are
quite good.
Table I
Resist Type
KrF CA Resist
ArF CA Resist
EUV CA Resist
Typical Acid diffusion Length
20-30nm
10-20nm
5-10nm
Overlap Process Window
Dose
Group1
Doc: 1320C31P52
32
Eepmwe LetWde vs. DOF
Eapmtre latdde PEI
31
30
F 0.01
E 29.68
29
28
27
0.00
0.06
010
0.15
C120
INA d Feue
0.0
-0.1
0.1
Focus
- - -
B20C31P52_CD
B20C31P52_GE
Overlap
Figure 3. 26nm hp contact hole process window on NXE3100 [Courtesy IMEC]
Proc. of SPIE Vol. 8682 868213-6
Downloaded From: http://proceedings.spiedigitallibrary.org/ on 11/18/2014 Terms of Use: http://spiedl.org/terms
0.
31.Om j. 23.2nm
..
Figure 4. 22nm CH resolution for current EUV resist on NXE 3100. (Courtesy IMEC)
31CH52P RMS CD=0.9 nm
34CH56P RMS CD=1.1 nm
35
30
E
E
Ç 30
Ç 25
o
oU
}
}
J
20
J
15
-0 2
0
02
25
=
I_ 3
i
G'
20
-0 2
0
02
focus, um
focus, um
LCDU fitting
56nm pitch, 25.2 mJ /cm2, f=0
52nm pitch, 28.4 mJ /cm2, f=0
Experimental < Local CDU >, 25 holes x 10 trials
1.1 nm
1.2 nm
Simulated <Local CDU >, 25 holes x 50 trials
1.2 nm
1.1 nm
Figure 5. The experimental CH CD data vs Prolth 4.1.4 SRM modeled data exhibits a very good
fit for both 56nm and 52nm pitch. On the bottom of the figure, we show strong model
prediction of the LCDU experimentally measured.
Proc. of SPIE Vol. 8682 868213-7
Downloaded From: http://proceedings.spiedigitallibrary.org/ on 11/18/2014 Terms of Use: http://spiedl.org/terms
PDB concept: The PDB concept has been exploited for dark field applications in ArF
lithography.9 The addition of PDB to the resist formulation improves the photoacid gradient at
the image line edge leading to higher contrast resist material. With the combination of low
acid diffusion coupled with higher contrast, large improvement in resolution, LWR and contact
hole CDU can be seen. Figure 6 shows the LWR for a standard quencher formulation vs. a PDBcontaining formulation at 28 nm hp. The LWR improves by 1.0 nm (~20%) in the PDBcontaining resist.
(A) LWR =5.2nm
(B) LWR= 4.2nm
Figure 6. Standard quencher formulation (A) vs Photodecomposable Base (B)
We are particularly interested in applying the PDB concept to dark field applications. As we
already have shown, the standard quencher formulation is limited to 22nm CH resolution.
Figure 7 illustrates that PDBs allow for not only 20nm hp contact hole resolution, but reasonable
focus and exposure latitude. This result shows that PDBs can actually improve ultimate
resolution of EUV resists.
Proc. of SPIE Vol. 8682 868213-8
Downloaded From: http://proceedings.spiedigitallibrary.org/ on 11/18/2014 Terms of Use: http://spiedl.org/terms
20nm CH; 10% Mask Bias
59.19mJ with 7% Dose
Increment
60nm Focus Increment
Figure 7. 20nm hp contact hole process window at LBNL using PDB-based formulation. Dose
to size 60mj at LBNL; predicted Dose to size of 30mj on NXE3100.
Efficient PAG concept: As EUV lithography matures, the design of customized materials
specifically for the EUV wavelength must be employed. In our earlier work we described
photoacid generators [PAG] with reduced sensitivity to out-of-band radiation. Added to that
work, is the necessity for PAGs that are more responsive to the EUV wavelength of 13.4 nm. It
is our objective to maximize the PAG sensitivity to EUV wavelength whilst minimizing the PAG
sensitivity to the longer wavelength OOB flare in the scanner. Our attention has been focused
on improving the ionization pathway of the PAG to increase the yield of EUV acids generated in
the PAG. The ionization pathway for the PAG means that electron transfer is the mechanism
of acid generation in EUV exposure. If we can make our PAGs more sensitive to electrons then
we can improve the acid yield for the PAG. Thermodynamically , this means that the reduction
potential of the PAG may be a strong lever for reducing sensitivity while maintaining good CDU.
Figure 8 illustrates a direct correlation between the reduction potential of the PAG and the EUV
sensitivity. Compared to TPS ( Reduction Potential -1.6 V vs Ag/Ag+)10, these new PAGs are
more easily reduced by over 1.0 volt.
Proc. of SPIE Vol. 8682 868213-9
Downloaded From: http://proceedings.spiedigitallibrary.org/ on 11/18/2014 Terms of Use: http://spiedl.org/terms
n
r,
V lJC'l
n
V/Ivra
n
Vil9
"
S
n
5
ea r ra
V G1!)
II/kn
r
Figure 8. Reduction potential of PAGs in acetonitrile vs. EUV photospeed.
Discussion
We have successfully shown that small molecule design can enhance EUV resist performance.
We have demonstrated that the PDB concept can be successfully applied to EUV resists leading
to improved CDU and faster photospeed with better CDU performance as our earlier resist with
standard Q. The PDB concept can also be applied to opening smaller contact holes down to
20nm hp. We have also shown the capability of more efficient PAGs that maximize EUV
sensitivity whilst minimizing OOB sensitivity. In this way, we can move the RLS triangle to
maintaining LWR and CDU while reducing sizing energy. Figure 9 shows the asymptotic plot of
Local CDU vs. Sensitivity on the LBNL tool. This plot shows that the PDB concept and the
efficient PAG concept can both be utilized to decrease sizing dose while maintaining CDU.
Based on LBNL data, the brick wall on sensitivity is 45mj (22.5mj on the NXE3100). Whether
this brick wall is due mainly to photon shot noise or further resist improvements needed will
be the subject of our future work. The CDU floor of 4.0 nm (3s) is a function of the aerial
image, the quality of the EUV mask, photon shot noise , and the quality of the resist. All four of
these factors will be optimized over the coming years. However, photon shot noise can only be
improved by stronger power sources, allowing more relaxed resist sensitivity targets.
Proc. of SPIE Vol. 8682 868213-10
Downloaded From: http://proceedings.spiedigitallibrary.org/ on 11/18/2014 Terms of Use: http://spiedl.org/terms
10
9
8
7
E
6
m
S
J
4
Dow EUV CH Resist
_
0
Control Resist
PDQ Resist
3
Efficient PAG
2
1
0
0
20
40
60
80
100
Esize (mJ)
Figure 9. Plot of Local CDU (3sigma) vs. EUV sensitivity at LBNL for 30nm contacts.
Acknowledgments. The authors would like to thank IMEC, LBNL, Sematech and ASM-L for
lithographic support.
References
Yan Borodovsky “Marching to the beat of Moore's Law,” Proc. SPIE 6153, 615301
(2006).
2. (a.) Moshe Preil, “Factors that Determine the Optimum Dose for sub-20nm Resist
Systems: DUV, EUV and e-beam Options,” Proc. SPIE 8325, 832503-1 (2012): (b.) Yan
Borodovsky, “EUV Lithography at Insertion and Beyond,” 2012 EUV Workshop, Maui, HI.
3. (a) D. van Steenwinckel, J. H. Lammers, L. H. Leunissen, J. A. J. M. Kwinten, “Lithographic
importance of acid diffusion in chemically amplified resists,” Proc. SPIE, 5753, pp. 269280 (2005); (b) Mark D. Smith, Jeffrey D. Byers, C. A. Mack, “The lithographic impact of
resist model parameters,” Proc. SPIE, 5376, pp. 322-332 (2004).
4. .(a.) James W. Thackeray, Roger A. Nassar, Robert Brainard, Dario Goldfarb, Thomas
Wallow, Yayi Wei, Jeff Mackey, Patrick Naulleau, Bill Pierson, and Harun H. Solak,
“Chemically amplified resists resolving 25 nm 1:1 line: space features with EUV
lithography,” Proc. SPIE 6517, 651719 (2007). (b) J. W. Thackeray, R. A. Nassar, K. SpearAlfonso R. Brainard, D. Goldfarb, T. Wallow, Y. Wei, W. Montgomery, K. Petrillo, O.
Wood, C. –S Koay, J. Mackey, P. Naulleau, B. Pierson, H. Solak, “Pathway to sub-30 nm
Resolution in EUV Lithography, “J. Photopoly. Sci. Tech, 20 (3), pp. 411-418 (2007): (d.)
M. Thiyagarajan , K. Dean, K. Gonsalves, “Improved Lithographic Performance for EUV
resists based on Polymers with Photoacid Generators in the Backbone,” J. Photopolym.
Sci Tech. 18(6) pp. 737-741 (2005).
1.
Proc. of SPIE Vol. 8682 868213-11
Downloaded From: http://proceedings.spiedigitallibrary.org/ on 11/18/2014 Terms of Use: http://spiedl.org/terms
(a.) James W. Thackeray, “Materials Challenges for sub-20-nm Lithography,” J.
Micro/nanolith, MEMS MOEMS 10(3) 033009 (2011); (b.) V. Jain, S. Coley, J.J. Lee, M. D.
Christianson, D. J. Arriola, P. LaBeaume, M. E. Danis, N. Ortiz, S.J. Kang, M.J. Wagner, A.
Kwok, D.A.Valeri, J. W. Thackeray, "Impact of polymerization process on OOB on
lithographic performance of a EUV resist", Proc. SPIE 7969, 796912 (2011).
6. (a.) S. Funato, G. Pawlowski et al, “Application of photo-decomposable base concept to
two-component deep-UV chemically amplified resists,” Proc. SPIE 2724, 186 (1996); (b.)
J. Biafore, M. D. Smith, “ Application of Stochastic Modeling to Resist Optimization
Problems,” Proc. SPIE 8325, 83250H-1 (2012).
7. Chris A. Mack, James W. Thackeray, John J. Biafore, and Mark D. Smith, “Stochastic
exposure kinetics of extreme ultraviolet photoresists: simulation study,” J.
Micro/Nanolith. MEMS MOEMS 10, 033019 (2011)
8. T. Kozawa, S. Tagawa, “Radiation Chemistry in Chemically Amplified Resists,” JJAP, 49,
p.03001 (2010).
9. S. Chen et al, “Contrast Improvement with Balanced Diffusion Control of PAG and PDB,”
Proc. SPIE 8325, 83250O-1 (2012).
10. P. S. McKinney and S. Rosenthal, “The Electrochemical Reduction of the Triphenyl
Sulfonium Cation,” Electroanalytical Chemistry and Interfacial Electrochemistry, 16 , pp.
261-270 (1968).
5.
Proc. of SPIE Vol. 8682 868213-12
Downloaded From: http://proceedings.spiedigitallibrary.org/ on 11/18/2014 Terms of Use: http://spiedl.org/terms